2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_arith.all;
4 use ieee.std_logic_unsigned.all;
5 use ieee.numeric_std.all;
13 qcount: out std_logic_vector (31 downto 0);
14 a_rise, a_fall, b_rise, b_fall, ab_event: out std_logic;
15 ab_error: out std_logic
19 architecture behavioral of qcounter is
28 subtype std_logic4 is std_logic_vector (3 downto 0);
29 signal a, b, a_prev, b_prev: std_logic;
30 signal count_prev: std_logic_vector (29 downto 0)
31 := "000000000000000000000000000000";
32 signal count: std_logic_vector (29 downto 0);
34 --ustaleni signalu a mezi tiky hodin
35 --reaguje na nabeznou hranu
43 --ustaleni signalu b mezi tiky hodin
44 --reaguje na nabeznou hranu
52 --prvni dva rady kombinacne
55 qcount(31 downto 2) <= count;
57 --k cemu tento prosess? jen pro prenos udalosti na piny?
58 comb_event: process (a_prev, b_prev, a, b) --proc je v sensitivity listu i stary stav?? jen kvuli nulovani?
66 if ((a xor a_prev) and (b xor b_prev)) = '1' then -- a i b se zmenily zaroven
67 -- forbidden double transition
70 a_rise <= (a xor a_prev) and a; --a nabezna
71 a_fall <= (a xor a_prev) and not a; --a sestupna
72 b_rise <= (b xor b_prev) and b; --b nabezna
73 b_fall <= (b xor b_prev) and not b; --b sestupna
74 ab_event <= (a xor a_prev) or (b xor b_prev); --a nebo b se zmenily
78 --prechod do 3. radu (binarne)
79 comb_count: process (a_prev, b_prev, a, b, count) --proc jsou v sensitivity prev stavy?
81 if (a_prev = '0') and (b_prev = '1') and (a = '0') and (b = '0') then --posun dopredu
82 count <= count_prev + 1;
83 elsif (a_prev = '0') and (b_prev = '0') and (a = '0') and (b = '1') then --posun dozadu
84 count <= count_prev - 1;
90 --s nabeznou hranou hodin ulozime stare (prev) hodnoty, pripadne synchronni reset
91 --proc resuteje count_prev misto count?? neprojevi se tato zmena az pri pruchodu comb_count??
94 wait until clock'event and clock = '1';
96 count_prev <= "000000000000000000000000000000";
106 --proc pouzivan count_prev a neprirazuji rovnou do count?