]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blob - pmsm-control/rpi_pmsm_control.vhdl
52e362f59b67c291bd1c398cb8aed21f27fea020
[fpga/rpi-motor-control.git] / pmsm-control / rpi_pmsm_control.vhdl
1 --
2 -- * LXPWR slave part *
3 --  common sioreg & common counter for several ADC&PWM blocks
4 --
5 -- part of LXPWR motion control board (c) PiKRON Ltd
6 -- idea by Pavel Pisa PiKRON Ltd <pisa@cmp.felk.cvut.cz>
7 -- code by Marek Peca <mp@duch.cz>
8 -- 01/2013
9 --
10 -- license: GNU GPLv3
11 --
12
13 library ieee;
14 use ieee.std_logic_1164.all;
15 use ieee.numeric_std.all;
16 use work.util.all;
17
18 entity rpi_mc_simple_dc is
19 generic(
20         pwm_width : natural:=11
21         );
22 port (
23         gpio2: in std_logic; -- SDA
24         gpio3: in std_logic; -- SCL
25         gpio4: in std_logic; -- CLK
26         gpio14: in std_logic; -- Tx
27         gpio15: in std_logic; -- Rx
28         gpio17: in std_logic; -- RTS
29         gpio18: in std_logic; -- PWM0/PCMCLK
30         gpio27: in std_logic; -- SD1DAT3
31         gpio22: in std_logic; -- SD1CLK
32         gpio23: in std_logic; -- SD1CMD
33         gpio24: in std_logic; -- SD1DAT0
34         gpio10: in std_logic; -- SPI0MOSI
35         gpio9: out std_logic; -- SPI0MISO
36         gpio25: in std_logic; -- SD1DAT1
37         gpio11: in std_logic; -- SPI0SCLK
38         gpio8: in std_logic; -- SPI0CE0
39         gpio7: in std_logic; -- SPI0CE1
40         gpio5: in std_logic; -- GPCLK1
41         gpio6: in std_logic; -- GPCLK2
42         gpio12: in std_logic; -- PWM0
43         gpio13: in std_logic; -- PWM1
44         gpio19: in std_logic; -- PWM1/SPI1MISO/PCMFS
45         gpio16: in std_logic; -- SPI1CE2
46         gpio26: in std_logic; -- SD1DAT2
47         gpio20: in std_logic; -- SPI1MOSI/PCMDIN/GPCLK0
48         gpio21: in std_logic; -- SPI1SCLK/PCMDOUT/GPCLK1
49         --
50         -- PWM
51         -- Each PWM signal has cooresponding shutdown
52         pwm: out std_logic_vector (1 to 3);
53         shdn: out std_logic_vector (1 to 3);
54         -- Fault/power stage status
55         stat: in std_logic_vector (1 to 3);
56         -- HAL inputs
57         hal_in: in std_logic_vector (1 to 3);
58         -- IRC inputs
59         irc_a: in std_logic;
60         irc_b: in std_logic;
61         irc_i: in std_logic;
62         -- Power status
63         power_stat: in std_logic;
64         -- ADC for current
65         adc_miso: in std_logic;
66         adc_mosi: out std_logic;
67         adc_sclk: out std_logic;
68         adc_scs: out std_logic;
69         -- Extarnal SPI
70         ext_miso: in std_logic; --master in slave out
71         ext_mosi: in std_logic; --master out slave in
72         ext_sclk: in std_logic;
73         ext_scs0: in std_logic;
74         ext_scs1: in std_logic;
75         ext_scs2: in std_logic;
76         -- RS-485 Transceiver
77         rs485_rxd: in std_logic;
78         rs485_txd: out std_logic;
79         rs485_dir: out std_logic;
80         -- CAN Transceiver
81         can_rx: in std_logic;
82         can_tx: in std_logic;
83         -- DIP switch
84         dip_sw: in std_logic_vector (1 to 3); --na desce je prohozene cislovanni
85         -- Unused terminal to keep design tools silent
86         dummy_unused : out std_logic
87 );
88 end rpi_mc_simple_dc;
89
90
91 architecture behavioral of rpi_mc_simple_dc is
92         attribute syn_noprune :boolean;
93         attribute syn_preserve :boolean;
94         attribute syn_keep :boolean;
95         attribute syn_hier :boolean;
96         -- Actel lib
97         -- component pll50to200
98         --   port (
99         --     powerdown, clka: in std_logic;
100         --     lock, gla: out std_logic
101         --   );
102         -- end component;
103         
104         component CLKINT
105                 port (A: in std_logic; Y: out std_logic);
106         end component;
107         
108         component qcounter
109         port (
110                 clock: in std_logic;
111                 reset: in std_logic;
112                 a0, b0: in std_logic;
113                 qcount: out std_logic_vector (31 downto 0);
114                 a_rise, a_fall, b_rise, b_fall, ab_event: out std_logic;
115                 ab_error: out std_logic
116         );
117         end component;
118
119         component mcpwm is
120         generic (
121                 pwm_width: natural
122         );
123         port (
124                 clock: in std_logic;
125                 sync: in std_logic;                             --flag that counter "restarts-overflows"
126                 data_valid:in std_logic;                        --indicates data is consistent
127                 failsafe: in std_logic;                         --turn off both transistors
128                 en_p, en_n: in std_logic;                       --enable positive & enable shutdown
129                 match: in std_logic_vector (pwm_width-1 downto 0); --posion of counter when we swap output logic
130                 count: in std_logic_vector (pwm_width-1 downto 0); --we use an external counter
131                 out_p, out_n: out std_logic                     --pwm outputs: positive & shutdown
132                 --TODO add the rest of pwm signals, swap match to pwm_word
133         );
134         end component;
135         
136         component div8 is
137         port (
138                 --reset: in std_logic;
139                 clk_in: in std_logic;
140                 clk_out: out std_logic
141         );
142         end component;
143         
144         component adc_reader is
145         port (
146                 clk: in std_logic;                                      --input clk
147                 adc_reset: in std_logic;
148                 adc_miso: in std_logic;                                 --spi master in slave out
149                 adc_channels: out std_logic_vector (35 downto 0);       --consistent data of 3 channels
150                 adc_sclk: out std_logic;                                --spi clk
151                 adc_scs: out std_logic;                                 --spi slave select
152                 adc_mosi: out std_logic;                                --spi master out slave in
153                 measur_count: out std_logic_vector(8 downto 0)          --number of accumulated measurments
154         
155         );
156         end component;
157         
158         
159         signal adc_reset : std_logic;
160         signal adc_channels: std_logic_vector(71 downto 0);
161         signal adc_m_count: std_logic_vector(8 downto 0);
162         
163         signal spiclk_old: std_logic_vector(1 downto 0); --pro detekci hrany SPI hodin
164         --signal pwm_in, pwm_dir_in: std_logic;
165         signal gpio_clk: std_logic;
166         signal dat_reg : STD_LOGIC_VECTOR (127 downto 0); --shift register for spi
167         signal position: std_logic_vector(31 downto 0); --pozice z qcounteru
168         signal ce0_old: std_logic_vector(1 downto 0);
169         
170         --pwm signals
171         constant pwm_n: natural := 3;                                   --number of pwm outputs
172         --number of ticks per pwm cycle, 2^11=2048
173         constant pwm_period : std_logic_vector (pwm_width-1 downto 0) := (others=>'1'); 
174         type pwm_res_type is array(1 to 3) of std_logic_vector (pwm_width-1 downto 0);
175         
176         signal pwm_match: pwm_res_type;                                 --point of reversion of pwm output, 0 to 2047
177         signal pwm_count: std_logic_vector (pwm_width-1 downto 0);      --counter, 0 to 2047
178         signal pwm_sync: std_logic;
179         signal pwm_en_p: std_logic_vector(1 to 3);
180         signal pwm_en_n: std_logic_vector(1 to 3);
181         signal pwm_sig: std_logic_vector(1 to 3);
182         
183         signal income_data_valid: std_logic;
184         
185         signal clk_3M1: std_logic;
186         
187         
188         
189         --  attribute syn_noprune of gpio2 : signal is true;
190         --  attribute syn_preserve of gpio2 : signal is true;
191         --  attribute syn_keep of gpio2 : signal is true;
192         --  attribute syn_hier of gpio2 : signal is true;
193
194 begin
195         -- PLL as a reset generator
196         
197         --zesileni signalu GPIO CLK
198         copyclk2: CLKINT
199         port map (
200                 a => gpio4,
201                 y => gpio_clk
202         );
203         
204         
205         qcount: qcounter
206         port map (
207                 clock => gpio_clk,
208                 reset => '0',
209                 a0 => irc_a,
210                 b0 => irc_b,
211                 qcount => position,
212                 a_rise => open,
213                 a_fall => open,
214                 b_rise => open,
215                 b_fall => open,
216                 ab_event => open,
217                 ab_error => open
218         );
219         
220         pwm_block: for i in pwm_n downto 1 generate
221                 pwm_map: mcpwm
222                 generic map (
223                         pwm_width => pwm_width
224                 )
225                 port map (
226                         clock => gpio_clk,                              --50 Mhz clk from gpclk on raspberry
227                         sync => pwm_sync,                               --counter restarts
228                         data_valid => income_data_valid,                        
229                         failsafe => '0',
230                         --
231                         -- pwm config bits & match word
232                         --
233                         en_n => pwm_en_n(i),                            --enable positive pwm
234                         en_p => pwm_en_p(i),                            --enable "negative" ->activate shutdown
235                         match => pwm_match(i),
236                         count => pwm_count,
237                         -- outputs
238                         out_p => pwm_sig(i),                            --positive signal
239                         out_n => shdn(i)                                --reverse signal is in shutdown mode
240                 );
241         end generate;
242         
243         
244         div8_map: div8 
245         port map(
246                 --reset => income_data_valid,
247                 clk_in => gpio_clk,
248                 clk_out => clk_3M1
249         );
250         
251         adc_reader_map: adc_reader 
252         port map(
253                 clk =>clk_3M1,
254                 adc_reset => adc_reset,
255                 adc_miso => adc_miso,
256                 adc_channels => adc_channels,
257                 adc_sclk => adc_sclk,
258                 adc_scs => adc_scs,
259                 adc_mosi => adc_mosi,
260                 measur_count => adc_m_count
261                 
262         );
263
264         
265         
266         --   pll: pll50to200
267         --     port map (
268         --       powerdown => '1',
269         --       clka => pll_clkin,
270         --       gla => pll_clkout,
271         --       lock => pll_lock);
272         -- --  reset <= not pll_lock;
273         --   reset <= '0';                         -- TODO: apply reset for good failsafe
274                                            -- upon power-on
275         --   clock <= clkm;
276
277         dummy_unused <= gpio2 and gpio3  and gpio4 and
278                 gpio5 and gpio6 and
279                 gpio12 and gpio13 and gpio14 and
280                 gpio15 and gpio16 and gpio19 and
281                 gpio20 and gpio21 and gpio26 and
282                 stat(1) and stat(2) and stat(3) and
283                 hal_in(1) and hal_in(2) and hal_in(3) and
284                 irc_i and power_stat and 
285                 adc_miso and 
286                 rs485_rxd and
287                 can_rx and can_tx and
288                 dip_sw(1) and dip_sw(2) and dip_sw(3) and
289                 irc_a and irc_b and
290                 gpio17 and gpio18 and gpio27 and gpio22 and gpio23 and gpio24 and gpio25 and
291                 gpio8  and gpio11 and gpio7 and gpio10 and
292                 ext_scs1 and ext_scs2 and ext_miso and ext_mosi and ext_sclk and ext_scs0;
293                         
294         rs485_txd <= '1';
295         rs485_dir <= '0';
296
297
298         pwm(1) <= pwm_sig(1) and dip_sw(1);
299         pwm(2) <= pwm_sig(2) and dip_sw(2);
300         pwm(3) <= pwm_sig(3) and dip_sw(3);
301         
302                 
303         
304         process
305         begin
306                 wait until (gpio_clk'event and gpio_clk='1');
307                 IF(pwm_count = pwm_period) THEN                         
308                 --end of period reached
309                         pwm_count <= (others=>'0');      --reset counter
310                         pwm_sync <= '1';                                -- inform PWM logic about new period start
311                 ELSE                                                    --end of period not reached
312                         pwm_count <= std_logic_vector(unsigned(pwm_count)+1);           --increment counter
313                         pwm_sync <= '0';
314                 END IF;
315         end process;
316         
317         process
318         begin
319                 --position is obtained on rising edge -> we should write it on next cycle
320                 wait until (gpio_clk'event and gpio_clk='1');
321                 
322                 --SCLK edge detection
323                 spiclk_old(0)<=gpio11;
324                 spiclk_old(1)<=spiclk_old(0);
325                 
326                 --SS edge detection
327                 ce0_old(0)<=gpio7;
328                 ce0_old(1)<=ce0_old(0);
329                 
330                 if (spiclk_old="01") then --rising edge, faze cteni
331                         if (gpio7 = '0') then             -- SPI CS must be selected
332                                 -- shift serial data into dat_reg on each rising edge
333                                 -- of SCK, MSB first
334                                 dat_reg(127 downto 0) <= dat_reg(126 downto 0) & gpio10;
335                                 end if;
336                 elsif (spiclk_old="10" ) then --falling edge, faze zapisu
337                         if (gpio7 = '0') then
338                                 gpio9 <= dat_reg(127); --zapisujeme nejdriv MSB
339                         end if;
340                 end if;
341                 
342                         
343                 --sestupna hrana SS, pripravime data pro prenos
344                 if (ce0_old = "10" ) then 
345                         income_data_valid<='0';
346                         dat_reg(127 downto 96) <= position(31 downto 0); --pozice
347                         dat_reg(95 downto 93) <= hal_in(1 to 3); --halovy sondy
348                         dat_reg(92 downto 90) <= pwm_en_p(1 to 3); --enable positive
349                         dat_reg(89 downto 87) <= pwm_en_n(1 to 3); --shutdown
350                         dat_reg(86 downto 81) <= (others=>'0');--pwm_match(1)(10 downto 5); --6 MSb of PWM1
351                         dat_reg(80 downto 72) <=adc_m_count(8 downto 0);        --count of measurments
352                         --data order schould be: ch2 downto ch0 downto ch1
353                         dat_reg(71 downto 0) <= adc_channels(71 downto 0);      --current mesurments
354                         adc_reset<='0'; --remove reset flag, and wait on its rising edge
355                 elsif (ce0_old = "01") then --rising edge of SS, we should read the data
356                         adc_reset<='1';
357                         pwm_en_p(1 to 3)<=dat_reg(126 downto 124);
358                         pwm_en_n(1 to 3)<=dat_reg(123 downto 121);
359                         --11 bit pwm TODO: make it generic
360                         pwm_match(1)(pwm_width-1 downto 0)<=dat_reg(66 downto 56);
361                         pwm_match(2)(pwm_width-1 downto 0)<=dat_reg(55 downto 45);
362                         -- 12 + 11 Unused
363                         pwm_match(3)(pwm_width-1 downto 0)<=dat_reg(42 downto 32);
364                         income_data_valid<='1';
365                 end if;
366         end process;
367                         
368 end behavioral;
369