]> rtime.felk.cvut.cz Git - fpga/rpi-motor-control.git/blob - pmsm-control/test_sw/main_pmsm.c
12f236d9ee43341c512261bd4ed245bab6968afe
[fpga/rpi-motor-control.git] / pmsm-control / test_sw / main_pmsm.c
1 /**
2  * \file main_pmsm.c
3  * \author Martin Prudek
4  * \brief Mainfile pro pmsm control.
5  */
6
7 #ifndef NULL
8 #define NULL (void*) 0
9 #endif /*NULL*/
10
11
12 #include <stdlib.h>     /*exit*/
13 #include <signal.h>     /*signal handler Ctrl+C*/
14 #include <stdio.h>      /*printf*/
15 #include <sched.h>      /*sheduler*/
16 #include <unistd.h>     /*usleep*/
17 #include <pthread.h>    /*threads*/
18 #include <time.h>       /*nanosleep*/
19
20 #include "rpin.h"       /*gpclk*/
21 #include "rp_spi.h"     /*spi*/
22 #include "misc.h"       /*structure for priorities*/
23 #include "pxmc_sin_fixed.h"     /*to test sin commutation */
24
25
26
27 #define PRUM_PROUD      2061
28 #define PRUM_SOUC       6183
29 #define MAX_DUTY        128
30 #define PID_P           0.1
31
32 #define PRIOR_KERN      50
33 #define PRIOR_HIGH      49
34 #define PRIOR_LOW       20
35
36 #define THREAD_SHARED   0
37 #define INIT_VALUE      1       /*init value for semaphor*/
38
39
40 #define PXMC_SIN_FIX_TAB_BITS 9
41 #define PXMC_SIN_FIX_IDX_SLR  23
42 #define PXMC_SIN_FIX_XD_MASK  0x007fffff
43 #define PXMC_SIN_FIX_XD_SLR   8
44 #define PXMC_SIN_FIX_A_MASK   0xffffc000
45 #define PXMC_SIN_FIX_B_SLL    19
46 #define PXMC_SIN_FIX_B_SAR    16
47 #define PXMC_SIN_FIX_B_XD_SAR 6
48 #define PXMC_SIN_FIX_ZIC_MASK 0x00002000
49 #define PXMC_SIN_FIX_ZIC_BIT  13
50
51 #define PXMC_SIN_FIX_PI2      0x40000000
52 #define PXMC_SIN_FIX_2PI3     0x55555555
53
54 #define NSEC_PER_SEC    (1000000000) /* The number of nsecs per sec. */
55
56
57
58 struct rpi_in data;
59 struct rpi_state{
60         uint8_t test;
61         uint16_t pwm1, pwm2, pwm3;
62         uint16_t t_pwm1, t_pwm2, t_pwm3;
63         char commutate;
64         int duty;                       /* duty cycle of pwm */
65         uint16_t index_dist;            /* distance to index position */
66         unsigned char index_ok;
67         uint32_t tf_count;              /*number of transfer*/
68         int desired_pos;                /* desired position */
69 }rps;
70
71
72 /**
73  * \brief Initilizes GPCLK.
74  */
75 int clk_init()
76 {
77         initialise(); /*namapovani gpio*/
78         initClock(PLLD_500_MHZ, 10, 0);
79         gpioSetMode(4, FSEL_ALT0);
80         return 0;
81 }
82 /*
83  * \brief Terminates GPCLK.
84  */
85
86 inline void clk_disable(){
87         termClock(0);
88 }
89
90 /**
91  * \brief Signal handler pro Ctrl+C
92  */
93 void appl_stop(){
94         spi_disable();
95         clk_disable();
96         /*muzeme zavrit semafor*/
97         sem_destroy(&thd_par_sem);
98         printf("\nprogram bezpecne ukoncen\n");
99 }
100
101 void substractOffset(struct rpi_in* data, struct rpi_in* offset){
102         data->pozice_raw=data->pozice;
103         data->pozice-=offset->pozice;
104         return;
105 }
106 /*
107  * pocita procentualni odchylku od prumerneho proudu
108  */
109 float diff_p(float value){
110         return ((float)value-PRUM_PROUD)*100/PRUM_PROUD;
111 }
112 /*
113  * pocita procentualni odchylku od prumerneho souctu proudu
114  */
115 float diff_s(float value){
116         return ((float)value-PRUM_SOUC)*100/PRUM_SOUC;
117 }
118 /*
119  * tiskne potrebna data
120  */
121 void printData(){
122         struct rpi_in data_p;
123         struct rpi_state s;     /*state*/
124         float cur0, cur1, cur2;
125         int i;
126         /* copy the data */
127         sem_wait(&thd_par_sem);
128         data_p = data;
129         s=rps;
130         sem_post(&thd_par_sem);
131
132         if (data_p.adc_m_count){
133                 cur0=data_p.ch0/data_p.adc_m_count;
134                 cur1=data_p.ch1/data_p.adc_m_count;
135                 cur2=data_p.ch2/data_p.adc_m_count;
136         }
137         for (i = 0; i < 16; i++) {
138                         if (!(i % 6))
139                                 puts("");
140                         printf("%.2X ", data_p.debug_rx[i]);
141         }
142         puts("");
143         printf("\npozice=%d\n",(int32_t)data_p.pozice);
144         printf("chtena pozice=%d\n",s.desired_pos);
145         printf("transfer count=%u\n",s.tf_count);
146         printf("raw_pozice=%u\n",data_p.pozice_raw);
147         printf("raw_pozice last12=%u\n",(data_p.pozice_raw&0x0FFF));
148         printf("index position=%u\n",data_p.index_position);
149         printf("hal1=%d, hal2=%d, hal3=%d\n",data_p.hal1,data_p.hal2,data_p.hal3);
150         printf("en1=%d, en2=%d, en3=%d (Last sent)\n",!!(0x40&s.test),!!(0x20&s.test),!!(0x10&s.test));
151         printf("shdn1=%d, shdn2=%d, shdn3=%d (L.s.)\n",!!(0x08&s.test),!!(0x04&s.test),!!(0x02&s.test));
152         printf("PWM1=%u(L.s.)\n",s.pwm1);
153         printf("PWM2=%u(L.s.)\n",s.pwm2);
154         printf("PWM3=%u(L.s.)\n",s.pwm3);
155         printf("distance to index=%u\n",s.index_dist);
156         printf("T_PWM1=%u T_PWM2=%u T_PWM3=%u\n",s.t_pwm1,s.t_pwm2, s.t_pwm3);
157         printf("Pocet namerenych proudu=%u\n",data_p.adc_m_count);
158         printf("(pwm1) (ch1)=%d (avg=%4.0f) (%2.2f%%)\n",data_p.ch1,cur1,diff_p(cur1));
159         printf("(pwm2) (ch2)=%d (avg=%4.0f)(%2.2f%%)\n",data_p.ch2,cur2,diff_p(cur2));
160         printf("(pwm3) (ch0)=%d (avg=%4.0f)(%2.2f%%)\n",data_p.ch0,cur0,diff_p(cur0));
161         printf("soucet prumeru=%5.0f (%2.2f%%)\n",cur0+cur1+cur2,diff_s(cur0+cur1+cur2));
162         printf("duty=%d\n",s.duty);
163         if (s.index_ok) printf("index ok\n");
164         if (s.commutate) printf("commutation in progress\n");
165 }
166 void prepare_tx(uint8_t * tx){
167
168         /*Data format:
169          * tx[4] - bity 95 downto 88 - bits that are sent first
170          * tx[5] - bity 87 downto 80
171          * tx[6] - bity 79 downto 72
172          * tx[7] - bity 71 downto 64
173          * tx[8] - bity 63 downto 56
174          * tx[9] - bity 55 downto 48
175          * tx[10] - bity 47 downto 40
176          * tx[11] - bity 39 downto 32
177          * tx[12] - bity 31 downto 24
178          * tx[13] - bity 23 downto 16
179          * tx[14] - bity 15 downto 8
180          * tx[15] - bity 7 downto 0
181          *
182          * bit 95 - ADC reset
183          * bit 94 - enable PWM1
184          * bit 93 - enable PWM2
185          * bit 92 - enable PWM3
186          * bit 91 - shutdown1
187          * bit 90 - shutdown2
188          * bit 89 - shutdown3
189          *      .
190          *      .
191          *      Unused
192          *      .
193          *      .
194          * bits 47 .. 32 - match PWM1
195          * bits 31 .. 16 - match PWM2
196          * bits 15 .. 0  - match PWM3
197          */
198
199
200         uint16_t tmp;
201
202         /* keep the 11-bit cap*/
203
204         if (rps.pwm1>2047) rps.pwm1=2047;
205         if (rps.pwm2>2047) rps.pwm2=2047;
206         if (rps.pwm3>2047) rps.pwm3=2047;
207
208         tx[0]=rps.test; /*bit 94 - enable PWM1*/
209
210         /*now we have to switch the bytes due to endianess */
211         /* ARMv6 & ARMv7 instructions are little endian */
212         /*pwm1*/
213         tx[10]=((uint8_t*)&rps.pwm1)[1]; /*MSB*/
214         tx[11]=((uint8_t*)&rps.pwm1)[0]; /*LSB*/
215
216         /*pwm2*/
217         tx[12]=((uint8_t*)&rps.pwm2)[1]; /*MSB*/
218         tx[13]=((uint8_t*)&rps.pwm2)[0]; /*LSB*/
219
220         /*pwm3*/
221         tx[14]=((uint8_t*)&rps.pwm3)[1]; /*MSB*/
222         tx[15]=((uint8_t*)&rps.pwm3)[0]; /*LSB*/
223
224
225 }
226 /**
227  * Funkce pravidelne vypisuje posledni zjistenou pozici lokalniho motoru
228  */
229 void * pos_monitor(void* param){
230         while(1){
231                 printData();
232                 usleep(1000000);        /*1 Hz*/
233         }
234         return (void*)0;
235 }
236
237 inline
238 int sin_commutator(int duty){
239         #define DEGREE_60        715827883
240         #define DEGREE_120      1431655765
241         #define DEGREE_180      2147483648
242         #define DEGREE_240      2863311531
243         #define DEGREE_300      3579139413
244         uint32_t j,pos;
245         int32_t sin;
246         uint16_t pwm;
247         pos=rps.index_dist*4294967;
248         if (duty>=0){   /*clockwise rotation*/
249                 /* 1st phase */
250                 sin = pxmc_sin_fixed_inline(pos+DEGREE_240,10); /*10+1 bity*/ /*-120*/
251                 pwm=0;
252                 for(j=0;j!=11;j++){
253                         /* multiplicate as if maximum sinus value was unity */
254                         pwm+=(!(sin & 0x10000000))*(((1 << j) & sin)>>j)*(duty>>(10-j));
255                 }
256                 rps.pwm1=pwm;
257
258                 /* 2nd phase */
259                 sin = pxmc_sin_fixed_inline(pos+DEGREE_120,10); /*10+1 bity*/ /*-240*/
260                 pwm=0;
261                 for(j=0;j!=11;j++){
262                         /* multiplicate as if maximum sinus value was unity */
263                         pwm+=(!(sin & 0x10000000))*(((1 << j) & sin)>>j)*(duty>>(10-j));
264                 }
265                 rps.pwm2=pwm;
266
267                 /* 3rd phase */
268                 sin = pxmc_sin_fixed_inline(pos,10); /*10+1 bity*/
269                 pwm=0;
270                 for(j=0;j!=11;j++){
271                         /* multiplicate as if maximum sinus value was unity */
272                         pwm+=(!(sin & 0x10000000))*(((1 << j) & sin)>>j)*(duty>>(10-j));
273                 }
274                 rps.pwm3=pwm;
275         }else{
276                 duty=-duty;
277
278                 /* 1st phase */
279                 sin = pxmc_sin_fixed_inline(pos+DEGREE_60,10); /*10+1 bity*/ /*-300*/
280                 pwm=0;
281                 for(j=0;j!=11;j++){
282                         /* multiplicate as if maximum sinus value was unity */
283                         pwm+=(!(sin & 0x10000000))*(((1 << j) & sin)>>j)*(duty>>(10-j));
284                 }
285                 rps.pwm1=pwm;
286
287                 /* 2nd phase */
288                 sin = pxmc_sin_fixed_inline(pos+DEGREE_300,10); /*10+1 bity*/ /*-60-*/
289                 pwm=0;
290                 for(j=0;j!=11;j++){
291                         /* multiplicate as if maximum sinus value was unity */
292                         pwm+=(!(sin & 0x10000000))*(((1 << j) & sin)>>j)*(duty>>(10-j));
293                 }
294                 rps.pwm2=pwm;
295
296                 /* 3rd phase */
297                 sin = pxmc_sin_fixed_inline(pos+DEGREE_180,10); /*10+1 bity*/ /*-180*/
298                 pwm=0;
299                 for(j=0;j!=11;j++){
300                         /* multiplicate as if maximum sinus value was unity */
301                         pwm+=(!(sin & 0x10000000))*(((1 << j) & sin)>>j)*(duty>>(10-j));
302                 }
303                 rps.pwm3=pwm;
304         }
305         return 0;
306 }
307 /*
308  * \brief
309  * Test function to be placed in controll loop.
310  * Switches PWM's at point where they produce same force.
311  * This points are found thanks to IRC position,
312  */
313 inline
314 void simple_ind_dist_commutator(int duty){
315         if (duty>=0){ /* clockwise - so that position increase */
316                 /* pwm3 */
317                 if ((rps.index_dist>=45 && rps.index_dist<=373) ||
318                 (rps.index_dist>=1048 && rps.index_dist<=1377)){
319                         rps.pwm1=0;
320                         rps.pwm2=0;
321                         rps.pwm3=duty;
322                         /* pwm1 */
323                 }else if ((rps.index_dist>=373 && rps.index_dist<=711) ||
324                 (rps.index_dist>=1377 && rps.index_dist<=1711)){
325                         rps.pwm1=duty;
326                         rps.pwm2=0;
327                         rps.pwm3=0;
328                         /* pwm2 */
329                 }else if ((rps.index_dist>=0 && rps.index_dist<=45) ||
330                 (rps.index_dist>=711 && rps.index_dist<=1048) ||
331                 (rps.index_dist>=1711 && rps.index_dist<=1999)){
332                         rps.pwm1=0;
333                         rps.pwm2=duty;
334                         rps.pwm3=0;
335                 }
336         }else{  /*counter-clockwise - position decrease */
337                 /* pwm3 */
338                 if ((rps.index_dist>=544 && rps.index_dist<=881) ||
339                 (rps.index_dist>=1544 && rps.index_dist<=1878)){
340                         rps.pwm1=0;
341                         rps.pwm2=0;
342                         rps.pwm3=-duty;
343                         /* pwm1 */
344                 }else if ((rps.index_dist>=0 && rps.index_dist<=211) ||
345                 (rps.index_dist>=881 && rps.index_dist<=1210) ||
346                 (rps.index_dist>=1878 && rps.index_dist<=1999)){
347                         rps.pwm1=-duty;
348                         rps.pwm2=0;
349                         rps.pwm3=0;
350                         /* pwm2 */
351                 }else if ((rps.index_dist>=211 && rps.index_dist<=544) ||
352                 (rps.index_dist>=1210 && rps.index_dist<=1544)){
353                         rps.pwm1=0;
354                         rps.pwm2=-duty;
355                         rps.pwm3=0;
356                 }
357         }
358 }
359 /*
360  * \brief
361  * Test function to be placed in controll loop.
362  * Switches PWM's at point where they produce same force
363  */
364 inline void simple_hall_commutator(int duty){
365         if (duty>=0){ /* clockwise - so that position increase */
366                 /* pwm3 */
367                 if (data.hal2 && !data.hal3){
368                         rps.pwm1=0;
369                         rps.pwm2=0;
370                         rps.pwm3=duty;
371                         /* pwm1 */
372                 }else if (data.hal1 && !data.hal2){
373                         rps.pwm1=duty;
374                         rps.pwm2=0;
375                         rps.pwm3=0;
376                         /* pwm2 */
377                 }else if (!data.hal1 && data.hal3){
378                         rps.pwm1=0;
379                         rps.pwm2=duty;
380                         rps.pwm3=0;
381                 }
382         }else{  /*counter-clockwise - position decrease */
383                 /* pwm3 */
384                 if (!data.hal2 && data.hal3){
385                         rps.pwm1=0;
386                         rps.pwm2=0;
387                         rps.pwm3=-duty;
388                         /* pwm1 */
389                 }else if (!data.hal1 && data.hal2){
390                         rps.pwm1=-duty;
391                         rps.pwm2=0;
392                         rps.pwm3=0;
393                         /* pwm2 */
394                 }else if (data.hal1 && !data.hal3){
395                         rps.pwm1=0;
396                         rps.pwm2=-duty;
397                         rps.pwm3=0;
398                 }
399         }
400 }
401 /**
402  * \brief
403  * Computation of distance to index.
404  *
405  * K dispozici je 12-bit index, to umoznuje ulozit 4096 ruznych bodu
406  * Je nutne vyjadrit 1999 bodu proti i posmeru h.r. od indexu -
407  *      to je 3999 bodu
408  *      =>12 bitu je dostacujicich, pokud nikdy nedojde ke ztrate
409  *              signalu indexu
410  */
411 void comIndDist(){
412         uint16_t pos = 0x0FFF & data.pozice_raw;
413         uint16_t dist;
414         uint16_t index = data.index_position;
415
416         if (index<2048){
417                 if (pos<index){
418                         /*proti smeru h.r. od indexu*/
419                         dist=pos+2000-index;
420                 }else if (pos<=index+2048){
421                         /*po smeru h.r. od indexu*/
422                         dist=pos-index;
423                 }else{
424                         /*proti smeru h.r. od indexu - podtecena pozice*/
425                         dist=pos-index-2096;
426                 }
427         }else{
428                 if (pos<index-2048){
429                         /*po smeru h.r. od indexu - pretecena pozice*/
430                         dist=4096+pos-index;
431                 }else if (pos<index){
432                         /*proti smeru h.r. od indexu*/
433                         dist=pos+2000-index;
434                 }else{
435                         /*po smeru h.r. od indexu*/
436                         dist=pos-index;
437                 }
438
439         }
440         rps.index_dist = dist;
441         return;
442 }
443 /*
444  * \brief
445  * Very simple PID regulator.
446  * Now only with P-part so that the error doesnt go to zero.
447  * TODO: add anti-wind up and I and D parts
448  */
449 inline void pid(){
450         int duty_tmp;
451         duty_tmp = PID_P*(rps.desired_pos - (int32_t)data.pozice);
452         if (duty_tmp>MAX_DUTY){
453                 rps.duty=MAX_DUTY;
454         }else if (duty_tmp<-MAX_DUTY){
455                 rps.duty=-MAX_DUTY;
456         }else{
457                 rps.duty = duty_tmp;
458         }
459 }
460 /*
461  * \brief
462  * Feedback loop.
463  */
464 void * read_data(void* param){
465         int i;
466         struct rpi_in pocatek;
467         struct timespec t;
468         int interval = 1000000; /* 1ms ~ 1kHz*/
469         uint8_t tx[]={0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0} ;
470         char first=1;
471         uint16_t last_index;                            /*we have index up-to date*/
472         pocatek = spi_read(tx);
473         clock_gettime(CLOCK_MONOTONIC ,&t);
474         /* start after one second */
475         t.tv_sec++;
476                 while(1){
477                         /* wait until next shot */
478                         clock_nanosleep(CLOCK_MONOTONIC, TIMER_ABSTIME, &t, NULL);
479                         sem_wait(&thd_par_sem);         /*---take semaphore---*/
480                         prepare_tx(tx);                 /*save the data to send*/
481                         data = spi_read(tx);            /*exchange data*/
482                         /*subtract initiate postion */
483                         rps.tf_count++;
484                         substractOffset(&data,&pocatek);
485                         comIndDist();
486                         if (!rps.index_ok){
487                                 if (first){
488                                         last_index=data.index_position;
489                                         first=0;
490                                 }else if (last_index!=data.index_position){
491                                         rps.index_ok=1;
492                                 }
493                         }
494                         pid();
495                         if (rps.index_ok && rps.commutate){
496                                 /*simple_ind_dist_commutator(rps.duty);*/
497                                 sin_commutator(rps.duty);
498                         }else if(!rps.index_ok && rps.commutate){
499                                 simple_hall_commutator(rps.duty);
500                         }
501                         sem_post(&thd_par_sem);         /*--post semaphore---*/
502
503                         /* calculate next shot */
504                         t.tv_nsec += interval;
505
506                         while (t.tv_nsec >= NSEC_PER_SEC) {
507                                 t.tv_nsec -= NSEC_PER_SEC;
508                                 t.tv_sec++;
509                         }
510
511                 }
512 }
513
514
515 /**
516  * \brief
517  * Commands detection.
518  */
519 void poll_cmd(){
520         unsigned int tmp;
521         /*
522          * Note:
523          * pri pouziti scanf("%u",&simple_hall_duty); dochazelo
524          * k preukladani hodnot na promenne test. Dost divne.
525          */
526         while (1){
527                 scanf("%u",&tmp);
528                 printf("volba=%u\n",tmp);
529                 switch (tmp){
530                 case 1:
531                         scanf("%u",&tmp);
532                         sem_wait(&thd_par_sem);
533                         rps.pwm1=tmp&0xFFF;
534                         sem_post(&thd_par_sem);
535                         break;
536                 case 2:
537                         scanf("%u",&tmp);
538                         sem_wait(&thd_par_sem);
539                         rps.pwm2=tmp&0xFFF;
540                         sem_post(&thd_par_sem);
541                         break;
542                 case 3:
543                         scanf("%u",&tmp);
544                         sem_wait(&thd_par_sem);
545                         rps.pwm3=tmp&0xFFF;
546                         sem_post(&thd_par_sem);
547                         break;
548                 case 4:
549                         scanf("%u",&tmp);
550                         sem_wait(&thd_par_sem);
551                         rps.test=tmp&0xFF;
552                         sem_post(&thd_par_sem);
553                         break;
554                 case 5:
555                         sem_wait(&thd_par_sem);
556                         rps.commutate=!rps.commutate;
557                         /* switch off pwms at the end of commutation */
558                         rps.pwm1&=rps.commutate*0xFFFF;
559                         rps.pwm2&=rps.commutate*0xFFFF;
560                         rps.pwm3&=rps.commutate*0xFFFF;
561                         sem_post(&thd_par_sem);
562                         break;
563                 case 6:
564                         scanf("%d",&tmp);
565                         sem_wait(&thd_par_sem);
566                         rps.duty=tmp;
567                         sem_post(&thd_par_sem);
568                         break;
569                 case 7:
570                         scanf("%d",&tmp);
571                         sem_wait(&thd_par_sem);
572                         rps.desired_pos=tmp;
573                         sem_post(&thd_par_sem);
574                         break;
575
576                 default:
577                         break;
578                 }
579
580         }
581         return ;
582 }
583 /**
584  * \brief Main function.
585  */
586
587 int main(){
588         pthread_t base_thread_id;
589         clk_init();             /* inicializace gpio hodin */
590         spi_init();             /* iniicializace spi*/
591
592         /*semafor pro detekci zpracovani parametru vlaken*/
593         sem_init(&thd_par_sem,THREAD_SHARED,INIT_VALUE);
594         setup_environment();
595
596         base_thread_id=pthread_self();
597
598         /*main control loop*/
599         create_rt_task(&base_thread_id,PRIOR_HIGH,read_data,NULL);
600
601         /*monitor of current state*/
602         create_rt_task(&base_thread_id,PRIOR_LOW,pos_monitor,NULL);
603
604         /*wait for commands*/
605         poll_cmd();
606
607         return 0;
608 }