From 700e2963b08c7861b9b8b0b3d76eda531d190333 Mon Sep 17 00:00:00 2001 From: Vladimir Burian Date: Thu, 14 Apr 2011 13:38:25 +0200 Subject: [PATCH] Removed quadcount_tb as it do nothing --- quadcount_tb.vhdl | 43 ------------------------------------------- 1 file changed, 43 deletions(-) delete mode 100644 quadcount_tb.vhdl diff --git a/quadcount_tb.vhdl b/quadcount_tb.vhdl deleted file mode 100644 index a15c6ba..0000000 --- a/quadcount_tb.vhdl +++ /dev/null @@ -1,43 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_arith.all; -use ieee.std_logic_unsigned.all; -use ieee.numeric_std.all; - -entity quadcount_tb is -end quadcount_tb; - -architecture behavioral of quadcount_tb is - component qcounter - port ( - clock: in std_logic; - reset: in std_logic; - a, b: in std_logic; - qcount: out std_logic_vector (31 downto 0); - a_rise, a_fall, b_rise, b_fall, ab_event: out std_logic; - ab_error: out std_logic - ); - end component; - - signal clock, reset, a, b: std_logic; -begin - qc0: qcounter - port map ( - clock => clock, - reset => reset, - a => a, - b => b - ); - - reset <= '0'; - a <= '0'; - b <= '0'; - - test: process - begin - clock <= '0'; - wait for 1 us; - clock <= '1'; - wait for 1 us; - end process; -end behavioral; -- 2.39.2