]> rtime.felk.cvut.cz Git - fpga/quadcount.git/history - dff.vhdl
qcount output moved one clock ahead (now consistent with event signals)
[fpga/quadcount.git] / dff.vhdl
2010-10-18 Marek Peca+ Makefile, DFF for input synchronization, ab carry...