From: Vladimir Burian Date: Thu, 14 Apr 2011 11:55:45 +0000 (+0200) Subject: Naming convention changed X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/quadcount.git/commitdiff_plain/d0648eae4a073b27df0fbfb16480197154207c7c Naming convention changed VHDL files extension modified to .vhd and testbench renamed to tb_quadcount.vhd. --- diff --git a/Makefile b/Makefile index 0a1a454..2d71407 100644 --- a/Makefile +++ b/Makefile @@ -1,4 +1,4 @@ -VHDL_MAIN=qctest +VHDL_MAIN=tb_qcounter VHDL_SUB=dff.o qcounter.o all: $(VHDL_MAIN) @@ -9,7 +9,7 @@ run: $(VHDL_MAIN) $(VHDL_MAIN): $(VHDL_MAIN).o $(VHDL_SUB) ghdl -e --ieee=synopsys $@ -%.o: %.vhdl +%.o: %.vhd ghdl -a --ieee=synopsys $< clean: diff --git a/dff.vhdl b/dff.vhd similarity index 100% rename from dff.vhdl rename to dff.vhd diff --git a/qcounter.vhdl b/qcounter.vhd similarity index 100% rename from qcounter.vhdl rename to qcounter.vhd diff --git a/qctest.vhdl b/tb_qcounter.vhd similarity index 98% rename from qctest.vhdl rename to tb_qcounter.vhd index 00ea793..439e4ae 100644 --- a/qctest.vhdl +++ b/tb_qcounter.vhd @@ -10,10 +10,10 @@ use ieee.numeric_std.all; USE IEEE.STD_LOGIC_TEXTIO.ALL; USE STD.TEXTIO.ALL; -ENTITY qctest IS -END qctest; +ENTITY tb_qcounter IS +END tb_qcounter; -ARCHITECTURE testbench_arch OF qctest IS +ARCHITECTURE testbench_arch OF tb_qcounter IS FILE RESULTS: TEXT OPEN WRITE_MODE IS "results.txt"; COMPONENT qcounter