X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/pwm.git/blobdiff_plain/9ed769f13f03a36f811149ba7640a80ca8758cfc..030c047f1c45e3f23e6ebe224a725cdfb62af1a6:/tb/tb_pwm.vhd diff --git a/tb/tb_pwm.vhd b/tb/tb_pwm.vhd index 3fed912..e77fd47 100644 --- a/tb/tb_pwm.vhd +++ b/tb/tb_pwm.vhd @@ -48,6 +48,7 @@ begin MAX => CNT_MAX) port map ( clk => clk, + clk_en => '1', reset => reset, count => pwm_cnt, event_ow => pwm_cyc);