X-Git-Url: https://rtime.felk.cvut.cz/gitweb/fpga/pwm.git/blobdiff_plain/7f72bacc9ad004ae250a0a3d617c56d56f73da19..879cb3117d4b07b76e9dc1ac3915d6e8753fe156:/tb/tb_mcc.vhd diff --git a/tb/tb_mcc.vhd b/tb/tb_mcc.vhd index c74ee61..881ecd6 100644 --- a/tb/tb_mcc.vhd +++ b/tb/tb_mcc.vhd @@ -42,7 +42,7 @@ architecture testbench of tb_mcc is subtype word_t is std_logic_vector (15 downto 0); - signal dbg_mem00 : word_t := "0000000000100111"; -- MCC enable flags (RO) + signal dbg_mem00 : word_t := "0000000000111111"; -- MCC enable flags (RO) signal dbg_mem01 : word_t := (others => '0'); -- IRC signal dbg_mem02 : word_t := "0000000000000000"; -- IRC base signal dbg_mem03 : word_t := "0000000000000111"; -- IRC per revolution (7)