From 22dd1f476414b16db55c41e8c64f899cfa97f7a1 Mon Sep 17 00:00:00 2001 From: Pavel Pisa Date: Tue, 3 Nov 2015 21:02:06 +0100 Subject: [PATCH 1/1] Setup of viewed signals for GTK Wave updated. Signed-off-by: Pavel Pisa --- hw/tb/test-lx-dad.gtkw | 34 ++++++++++++++++++++++++++-------- 1 file changed, 26 insertions(+), 8 deletions(-) diff --git a/hw/tb/test-lx-dad.gtkw b/hw/tb/test-lx-dad.gtkw index 710d42e..8625818 100644 --- a/hw/tb/test-lx-dad.gtkw +++ b/hw/tb/test-lx-dad.gtkw @@ -1,21 +1,25 @@ [*] [*] GTKWave Analyzer v3.3.37 (w)1999-2012 BSI -[*] Tue Nov 3 11:17:10 2015 +[*] Tue Nov 3 18:04:04 2015 [*] [dumpfile] "/home/pi/repo/ulan/lx-dad/hw/tb/test-lx-dad.ghw" -[dumpfile_mtime] "Tue Nov 3 10:45:48 2015" -[dumpfile_size] 4081875 +[dumpfile_mtime] "Tue Nov 3 16:11:28 2015" +[dumpfile_size] 3932257 [savefile] "/home/pi/repo/ulan/lx-dad/hw/tb/test-lx-dad.gtkw" [timestart] 0 -[size] 1153 694 +[size] 1255 747 [pos] -1 -1 -*-37.000000 342740000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +*-37.000000 332560000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 [treeopen] top. [treeopen] top.lx_dad_top_tb. +[treeopen] top.lx_dad_top_tb.uut. +[treeopen] top.lx_dad_top_tb.uut.memory_bus_example. +[treeopen] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance. +[treeopen] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.i_ramb. [sst_width] 210 [signals_width] 166 [sst_expanded] 1 -[sst_vpaned_height] 186 +[sst_vpaned_height] 203 @28 top.lx_dad_top_tb.uut.clk_50m @22 @@ -34,13 +38,27 @@ top.lx_dad_top_tb.uut.memory_bus_example.example_mem_ce_r #{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[31:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[31] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[30] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[29] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[28] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[27] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[26] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[25] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[24] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[23] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[22] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[21] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[20] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[19] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[18] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[17] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[16] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[15] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[14] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[13] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[12] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[11] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[10] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.din_m[0] #{top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[31:0]} top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[31] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[30] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[29] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[28] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[27] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[26] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[25] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[24] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[23] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[22] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[21] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[20] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[19] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[18] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[17] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[16] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[15] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[14] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[13] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[12] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[11] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[10] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[9] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[8] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[7] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[6] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[5] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[4] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[3] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[2] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[1] top.lx_dad_top_tb.uut.memory_bus_example.example_mem_instance.dout_m[0] #{top.lx_dad_top_tb.uut.data_read_s[31:0]} top.lx_dad_top_tb.uut.data_read_s[31] top.lx_dad_top_tb.uut.data_read_s[30] top.lx_dad_top_tb.uut.data_read_s[29] top.lx_dad_top_tb.uut.data_read_s[28] top.lx_dad_top_tb.uut.data_read_s[27] top.lx_dad_top_tb.uut.data_read_s[26] top.lx_dad_top_tb.uut.data_read_s[25] top.lx_dad_top_tb.uut.data_read_s[24] top.lx_dad_top_tb.uut.data_read_s[23] top.lx_dad_top_tb.uut.data_read_s[22] top.lx_dad_top_tb.uut.data_read_s[21] top.lx_dad_top_tb.uut.data_read_s[20] top.lx_dad_top_tb.uut.data_read_s[19] top.lx_dad_top_tb.uut.data_read_s[18] top.lx_dad_top_tb.uut.data_read_s[17] top.lx_dad_top_tb.uut.data_read_s[16] top.lx_dad_top_tb.uut.data_read_s[15] top.lx_dad_top_tb.uut.data_read_s[14] top.lx_dad_top_tb.uut.data_read_s[13] top.lx_dad_top_tb.uut.data_read_s[12] top.lx_dad_top_tb.uut.data_read_s[11] top.lx_dad_top_tb.uut.data_read_s[10] top.lx_dad_top_tb.uut.data_read_s[9] top.lx_dad_top_tb.uut.data_read_s[8] top.lx_dad_top_tb.uut.data_read_s[7] top.lx_dad_top_tb.uut.data_read_s[6] top.lx_dad_top_tb.uut.data_read_s[5] top.lx_dad_top_tb.uut.data_read_s[4] top.lx_dad_top_tb.uut.data_read_s[3] top.lx_dad_top_tb.uut.data_read_s[2] top.lx_dad_top_tb.uut.data_read_s[1] top.lx_dad_top_tb.uut.data_read_s[0] -@29 -top.lx_dad_top_tb.uut.phist @28 +top.lx_dad_top_tb.uut.phist top.lx_dad_top_tb.uut.phi_rst top.lx_dad_top_tb.uut.phi1 top.lx_dad_top_tb.uut.phi2 top.lx_dad_top_tb.uut.sck_o top.lx_dad_top_tb.uut.cnv_o +top.lx_dad_top_tb.uut.sensor_if.state_i +@22 +#{top.lx_dad_top_tb.uut.sensor_if.bls_o[3:0]} top.lx_dad_top_tb.uut.sensor_if.bls_o[3] top.lx_dad_top_tb.uut.sensor_if.bls_o[2] top.lx_dad_top_tb.uut.sensor_if.bls_o[1] top.lx_dad_top_tb.uut.sensor_if.bls_o[0] +@28 +top.lx_dad_top_tb.uut.sensor_if.bank +@22 +#{top.lx_dad_top_tb.uut.sensor_if.addr_o[10:0]} top.lx_dad_top_tb.uut.sensor_if.addr_o[10] top.lx_dad_top_tb.uut.sensor_if.addr_o[9] top.lx_dad_top_tb.uut.sensor_if.addr_o[8] top.lx_dad_top_tb.uut.sensor_if.addr_o[7] top.lx_dad_top_tb.uut.sensor_if.addr_o[6] top.lx_dad_top_tb.uut.sensor_if.addr_o[5] top.lx_dad_top_tb.uut.sensor_if.addr_o[4] top.lx_dad_top_tb.uut.sensor_if.addr_o[3] top.lx_dad_top_tb.uut.sensor_if.addr_o[2] top.lx_dad_top_tb.uut.sensor_if.addr_o[1] top.lx_dad_top_tb.uut.sensor_if.addr_o[0] +@28 +top.lx_dad_top_tb.uut.sensor_if.snsor_adc_interface.odd_even_r +top.lx_dad_top_tb.uut.sensor_if.snsor_adc_interface.active_bit_r +top.lx_dad_top_tb.uut.sensor_if.snsor_adc_interface.conv_start +top.lx_dad_top_tb.uut.sensor_if.snsor_adc_interface.drdy_o +top.lx_dad_top_tb.uut.sensor_if.spd_timer +@29 +top.lx_dad_top_tb.uut.cnv_o [pattern_trace] 1 [pattern_trace] 0 -- 2.39.2