]> rtime.felk.cvut.cz Git - sysless.git/commit
HCAN registers MCx and MDx redefined correctly as 8 bit.
authorhonza <bendaj1@fel.cvut.cz>
Mon, 3 Sep 2007 13:17:00 +0000 (13:17 +0000)
committerPavel Pisa <pisa@cmp.felk.cvut.cz>
Tue, 16 Nov 2010 15:54:52 +0000 (16:54 +0100)
commitad9c3d166ffe0ad3725625d6e87f21d3cde315d8
treeda92848c5923a04bc03f5ac3c416959bfced6cd1
parent983ed31b57261934968dec9d2c5f86b0b189b72b
HCAN registers MCx and MDx redefined correctly as 8 bit.

darcs-hash:20070903131759-d0ab5-6248028c6d2b98dbb28367ab83a213e69fdfeed9.gz
arch/h8300/mach-2638/defines/h8s2638h.h