From 38fcd4db8cb387a10a6dd09a6397003d07cd4c94 Mon Sep 17 00:00:00 2001 From: Michal Horn Date: Thu, 23 Apr 2015 14:25:29 +0200 Subject: [PATCH] Change demos names to contain target suffix --- ...g_passthrough.slx => analog_passthrough_rpp.slx} | Bin ...{analog_sinewave.slx => analog_sinewave_rpp.slx} | Bin rpp/demos/{demo_board.slx => demo_board_rpp.slx} | Bin ..._passthrough.slx => digital_passthrough_rpp.slx} | Bin ...{fray_basic_demo.slx => fray_basic_demo_rpp.slx} | Bin ...{fray_motor_demo.slx => fray_motor_demo_rpp.slx} | Bin ...g_control.slx => hbridge_analog_control_rpp.slx} | Bin ..._control.slx => hbridge_digital_control_rpp.slx} | Bin ...control.slx => hbridge_sinewave_control_rpp.slx} | Bin rpp/demos/{irc_input.slx => irc_input_rpp.slx} | Bin .../{led_blink_all.slx => led_blink_all_rpp.slx} | Bin rpp/demos/{led_blink.slx => led_blink_rpp.slx} | Bin ...og_analog_input.slx => log_analog_input_rpp.slx} | Bin .../{power_toggle.slx => power_toggle_rpp.slx} | Bin ...hree_phase_freq.slx => three_phase_freq_rpp.slx} | Bin 15 files changed, 0 insertions(+), 0 deletions(-) rename rpp/demos/{analog_passthrough.slx => analog_passthrough_rpp.slx} (100%) rename rpp/demos/{analog_sinewave.slx => analog_sinewave_rpp.slx} (100%) rename rpp/demos/{demo_board.slx => demo_board_rpp.slx} (100%) rename rpp/demos/{digital_passthrough.slx => digital_passthrough_rpp.slx} (100%) rename rpp/demos/{fray_basic_demo.slx => fray_basic_demo_rpp.slx} (100%) rename rpp/demos/{fray_motor_demo.slx => fray_motor_demo_rpp.slx} (100%) rename rpp/demos/{hbridge_analog_control.slx => hbridge_analog_control_rpp.slx} (100%) rename rpp/demos/{hbridge_digital_control.slx => hbridge_digital_control_rpp.slx} (100%) rename rpp/demos/{hbridge_sinewave_control.slx => hbridge_sinewave_control_rpp.slx} (100%) rename rpp/demos/{irc_input.slx => irc_input_rpp.slx} (100%) rename rpp/demos/{led_blink_all.slx => led_blink_all_rpp.slx} (100%) rename rpp/demos/{led_blink.slx => led_blink_rpp.slx} (100%) rename rpp/demos/{log_analog_input.slx => log_analog_input_rpp.slx} (100%) rename rpp/demos/{power_toggle.slx => power_toggle_rpp.slx} (100%) rename rpp/demos/{three_phase_freq.slx => three_phase_freq_rpp.slx} (100%) diff --git a/rpp/demos/analog_passthrough.slx b/rpp/demos/analog_passthrough_rpp.slx similarity index 100% rename from rpp/demos/analog_passthrough.slx rename to rpp/demos/analog_passthrough_rpp.slx diff --git a/rpp/demos/analog_sinewave.slx b/rpp/demos/analog_sinewave_rpp.slx similarity index 100% rename from rpp/demos/analog_sinewave.slx rename to rpp/demos/analog_sinewave_rpp.slx diff --git a/rpp/demos/demo_board.slx b/rpp/demos/demo_board_rpp.slx similarity index 100% rename from rpp/demos/demo_board.slx rename to rpp/demos/demo_board_rpp.slx diff --git a/rpp/demos/digital_passthrough.slx b/rpp/demos/digital_passthrough_rpp.slx similarity index 100% rename from rpp/demos/digital_passthrough.slx rename to rpp/demos/digital_passthrough_rpp.slx diff --git a/rpp/demos/fray_basic_demo.slx b/rpp/demos/fray_basic_demo_rpp.slx similarity index 100% rename from rpp/demos/fray_basic_demo.slx rename to rpp/demos/fray_basic_demo_rpp.slx diff --git a/rpp/demos/fray_motor_demo.slx b/rpp/demos/fray_motor_demo_rpp.slx similarity index 100% rename from rpp/demos/fray_motor_demo.slx rename to rpp/demos/fray_motor_demo_rpp.slx diff --git a/rpp/demos/hbridge_analog_control.slx b/rpp/demos/hbridge_analog_control_rpp.slx similarity index 100% rename from rpp/demos/hbridge_analog_control.slx rename to rpp/demos/hbridge_analog_control_rpp.slx diff --git a/rpp/demos/hbridge_digital_control.slx b/rpp/demos/hbridge_digital_control_rpp.slx similarity index 100% rename from rpp/demos/hbridge_digital_control.slx rename to rpp/demos/hbridge_digital_control_rpp.slx diff --git a/rpp/demos/hbridge_sinewave_control.slx b/rpp/demos/hbridge_sinewave_control_rpp.slx similarity index 100% rename from rpp/demos/hbridge_sinewave_control.slx rename to rpp/demos/hbridge_sinewave_control_rpp.slx diff --git a/rpp/demos/irc_input.slx b/rpp/demos/irc_input_rpp.slx similarity index 100% rename from rpp/demos/irc_input.slx rename to rpp/demos/irc_input_rpp.slx diff --git a/rpp/demos/led_blink_all.slx b/rpp/demos/led_blink_all_rpp.slx similarity index 100% rename from rpp/demos/led_blink_all.slx rename to rpp/demos/led_blink_all_rpp.slx diff --git a/rpp/demos/led_blink.slx b/rpp/demos/led_blink_rpp.slx similarity index 100% rename from rpp/demos/led_blink.slx rename to rpp/demos/led_blink_rpp.slx diff --git a/rpp/demos/log_analog_input.slx b/rpp/demos/log_analog_input_rpp.slx similarity index 100% rename from rpp/demos/log_analog_input.slx rename to rpp/demos/log_analog_input_rpp.slx diff --git a/rpp/demos/power_toggle.slx b/rpp/demos/power_toggle_rpp.slx similarity index 100% rename from rpp/demos/power_toggle.slx rename to rpp/demos/power_toggle_rpp.slx diff --git a/rpp/demos/three_phase_freq.slx b/rpp/demos/three_phase_freq_rpp.slx similarity index 100% rename from rpp/demos/three_phase_freq.slx rename to rpp/demos/three_phase_freq_rpp.slx -- 2.39.2