From cee5595da851b789ccd55fcfe5f3489331b9a110 Mon Sep 17 00:00:00 2001 From: Michal Sojka Date: Tue, 23 Jul 2013 20:50:53 +0200 Subject: [PATCH] Setup the model to for external simulation The generated executable segfaults when started with -w (wait for connection). It segfaults in external mode handling. Probably, I have some race condition in the ERT target. --- HW_in_the_loop.slx | Bin 23171 -> 22753 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/HW_in_the_loop.slx b/HW_in_the_loop.slx index 8c5e79db689181b1880df795ceee4e6844bf7891..d320d5662b8e594315169191d21e47ca9ce61d11 100644 GIT binary patch delta 21663 zcmV(}K+wN~wE^L=0T)n90|XQR000O8idzIjtws6CYXJZN+>sX~f5A=zAr$J+P{~j?b;d--e6{!H}CP9tFNvt*5HG-W`bx%Pyt3- zrOjf3p61i?5)~l|qeN>BOwbkrDz11V9h26>y|)g0)DVhfWCD|Jf|fBlhH;Qf=tQWJ z!X)zD`cA}j^$YBTe_V+L;F^#z?jQ;!qQJdj=>|u%w^VYlbf3P>21;URp#u{GrWM6M z+D^xalOS(oxt{4%?H%&nH%^rMo1cJmPt7S?b1 z%qnObd<-@F(oA|tm?}~MwhMk>o<}#g^C{vrp`(&ql;mtqe<`cSj9k7p_}Ba1aCf$z zuRNqq<+4`|U@uS*q}MK{zvh`d0aCtJgqYImcMa-#%Y*$`i5&bFF~slo*gx{uqj^o( zIbn2sl>cCm%X?Vsei1pX&d+k)0qN=en(~KaS-)le0PUuGvXJ~6P)h>@6aWAK2mp#( z1VgOBRbs6T1ONd44wJh99)Ec_TU)ztl~N9+rXE#lsG0Vl(V9|Yi#8fV#TY}4p{aT1 zpjuT!QPDQYF)M-^ikeQTsi{^3p(H`kCJ}ScMEUl)&wcLwp8MDR^V`p}_Ph79)_V6| z?|Of~_qU$hf!yHdmEb*g>=?hrO;a1d4*%15IDosX?zIuXoCr0xuz%y>;h9~qo(En} zJ-F!@dhD3Mhkx4fd{u#Sz(c8psgYe&A%m0{Xv-vXZ&=TU2l`&0c_$-41x-t;{+NT6 zUg!{q%5!di*gq=@4Y??k6NPkoFIMpqhJ<`*A)_KG+4=-yH8b#CXISuQ&e5mCU8~AKY~_J@U9<4IU3|AnGx7 zM@B}Rf{a&zk&{;w597?u2Pf!$tzRpw3I;2SU(3L1WO$Ni0)LBRiYhBBr$w}|52u6r znB4#U5os%~9u`eC(_G8I#2Rs!05=xlSnAxdZQ;}b*33`?{UQ+fjD>WT<7*@ zy-3Da=I$)A_vnyqg;I;&!QXhPlEFLLQe}SOotl`HqoX4yKl;@xODiki9!TI{+5f%* zce`o{5{X2}YJZWpNWc9D!MJgvq_!3@`s#|mzrRGLIaEYeLQ?Y6r%yXk`st-Rdwb_H z&AZ)@%`=OxRQszUH70O4Mh$o6$`y5W^)H6()f~ASb$3;T>>V746BBlJb`uj5g810= zZt-=?hQ8=J*wa;gRH>2^c8bnq?ZNXd`E$V=B@dN2Dwokc zRd~Mxc=nN=sw%F{DY-oTffA>}|L($>iUx61^!Camk(do{ieNYu;6xi@E<967VJ;WI zAul9DXcMoaL{AvKX5X16lcmm1tAf))MBExZC;A zCC@XSdw;KMpc}{DjjHTE34X1}K@&iMWlyHa82LcV2l$U-P4cEq``XPo;-gmk+eJkT z!@21(3WAvw-_`-_v*Bt@7#52aHe76hsS$^yaGr0}oLZE~_NGZHDXrc(R8msX&Q4hN zkclazDs&)SbW=lxH%s!`IGJpyt83XNY(ZSBSbrJB*8Jnh6o_1l&*&=}jaE`pLP=m_ zOG{3Lax?YiE-QF^(O-O zQP#uiN+OSWvzxJ!TI<@SfG6GfUz8+(jJw1Q^e73>vw1{dQ?2YW4JFrLyyYW$1nOAXXpvi~UfeAEn zyuzx**E%+q{a1hR#{Bzhp(}y2ZyDQP!0^@p`{3YUfCe_d4Q+34+uGWe9j?U3$J2=o z*(@Iuae0`kLw(`MklZa_&%t|+Re!_xEf%J)J}uIFlC~PrJkvFO?`s>9va!*qlx_|F z)k2`^$L`j>caJ*Q9C}IC|Ii^7=lL!>{HkP8QIYArw%I4ckt5!BfIs%~@-khD=xnG5 zDdd>f+ImjN1qKH0#B_%(^YROrd#A*77pJ*s5OpYV1OlNsV6w&=rvS<5D1SEX&7LF> zv}XRC|BgvaSk8BFi)CCfgVxm6j{0ohYtuiVR$<1+#{&=uV4k=d?Ai0@OB5fkZ_Cw0 z$sYsaaF<;+#TFvq+H>ntm*B!(2&S@B_9#+WSvkG*Q#o2ly!ViWKqA+zj+nVrBis2} zSLyKczc6J+ zs`RiAkirskJ@NP2C?Rxg4}m{U!=?SQhlP=mQ47fM^5r|u&d%OgS$}q`?T57LOuyWu z#{miB;3xeOmolT5(Bjqi?9Q41S6p{ER0I>d){$FasZbZL;6vkoD>o=VA?q&=zo%=ex30$6B*dr+8RGns#t#Sw#H8m zV7c4d+pEKSTto(}4S$*na(27*0t{L^Nek(yyGsXvKi3gD#D9&TK^JhBdJm?Ykw48- zxwyFUxDW@`V}9BIZYqzCsyDv-BZ@nU^diX-Uksk-nV>;>o&H#Ro#gXUW?zN{f1 zdW(~nOPolNet-1V(nwxvYAPB$eT!lZ%B*3{c4$h;VJU-&h(or%>{-HrnLF zg+DAe+BiS7WdAfIbm^)T z*1knFRBCH#+Bi70MXruhPhQp{7j%EFJ@}hBg@^_P z@qU$`!ie6zdv}f0Nz_s4qE@1fe;4IVewLH70DrCjjPMIw&59XK`iR3h)WNMgI$HdN z&rq_)`)4II zHZ?aZvR%Fef{@dVC9SQkEiGZRl;m~s(yp$#y`WXFs(!-3enC;u5hIqsBQ>wU)8fT_ zUVqm#@fX6%t+?Mut~4tvtMTn0vAcnlijQv?YM*j^=5CRzt(ij6GJ6^JQDi6sq;N82 z0gQ>Ekxy{T1~%Zwq>pZ9W^M(wVYSiUkG6>hb%tVlg(^4*t{ds1HKxZ}#Df#r->U=l zZ#L%U+pd<G-@ozdnR%( zri$RNErCX(FIs4x{jFyN0qC_;bagJH{mmii{-85ctXrl~H6rZ?A<)`x$s(EP7Z#2+{WIXNvmyGSzb0nusm)$ zP_=b*Fjs^7+%MnEwSz)80ZQK4-K8;OEOKut5L|^^C9cR60kX!xfaKFm4bv^dPft$f z7Zm7#K&Zk($vt6k62~RgXE;nNJpIfKVtlhw;fLsi^W<@;CloF%xp^Vy`@W!vXn}yiOheE5lF4s`K0wl zfAfQI5)OR0|BVPwG}vWw8aLYc)2FaTRTB!Ix48jr`9Dr8G!N-65R4(CQ?GXYq~Vj3 zMSIgw5$_e>aX|5?i&>eOrGH-F0W!Er?F$Cn+t7d{*1JNLHJvu@@#c4gQEhyDRshgS zhuNKF^IcXT3H$FeE96&q<0wEo{Dn5e=Y3Co%tq3QqCwVC*LrsnQ4MO6nl!XC3Q zgP7JEyC?q(P)h>@6aWAK2mp#(1Vgs21-^4d004!~le`cie`|Bx#%{UzY2^yFHZa@{C>xLG1xJ@a1ky2@aFrSO?tgM+4=9iKfOAQmR@iiUPtlD zO##$+pEVi0f0*v<{mC#G2>u4Y{}8+DTYup~lhli^-G#@#fUnMtx9-aOe&_9GbrD7B zak!4+G}+l3yn1;q13KHJDyVZehX2Aqv-oDS^1>8924E5FL9|+LQg2DK<%`(aGhaAE z$@m0#;az(%;Kfr+^wLYx^T>wviCJ$z`;& z2g3ozf4$gWAb_ClsUM!Y_t^3}h(4c0H#ZEG+Ci%gXYu0Jzw?j=cJ}bY&#|9+%~nU} zmlvB5f8W`IUkp>wv3q}dcyi#y1<7Bo- z0Xe+U_d7v!gX9g1q9qlOh;n2aIpi|h0L|>|{Wu(qUJSl9%qjgerxX0|82|GV@1rqy zI*Jr0SNR1yrB*_<;Z%;P$9(I>-%*O#a_JcHqbT{qpjYe8`jj5 zS^8-dHwe&?OoX5XbI_7tWxn2L7zz1BZRfIaE~E8{cjpC-rYDiRL~eV0SZmqbpV#*( z$e*N1>C@pDX?tW1a@sC6`&SgLj)3h)e{rKFh~%N|Ow568nq$*3$5x~D+4XherOh!% zFPzD60CZ@dAKPXO}0l{rqE5!`Wit1@ng_^;VZ|eB-4@G>nTM zzet0Hw9TaloD4Yssr$*hF4}xtGUMoDx)M&5+F~0p30H2o+}T^fA0OlNvyd`k6h{j{ z^!#WM9v(H;-_UW8*0|x3TRkORXC&5jicQ1zOm*eGG&JxPe)+Mcf0MlV@X-%Hrnlb5 z0Qg%?6OZ^ru-l^HhO3c-cN}~c$L>REDWF!(lKI+OaKTKoN}vPe#vs$YAlNZ-Mh@o5 zHzwEA@GJHF5Q4N18npfB^ZD^%=PBf>(NE2R`99XP9@#m21!@4yhZ#0a-NIW$@$vxC z4T3;=Rsi7KMKkcFf8<-#I+<0ui0(jnawTx59eOfiVF@Z8-bo?<$gFX?sY5*eOJdwk7#fA#CrlMJ{j6q@AsDr)PGz>^KD z?zZCAQquvqe{0S{50o1DA>hye3Df;>>xHv;rR{pToNunL{rk&^onj^Q2lTwnf`C-G z=!0CR%GbEUd4S#;0{S!rJpbgc52Mc^?DMkPN;w7yW|)ztZ^R(8e3|-qfSj`GOnuor zy02b`AoD$`a<>nd^WqDy&TybFLBm&7FScA|1jV%q4oZAI_me9We?hb3vpUz4VHhF^ z7>4=6v|dPjlZzN8fA_{O@smWS8$A)OQ00DDCXjy~nVQA0iyEkzMhmFbcp- zWAR}BT3p^@Xau;uVlbedge>Sg?_UQAj(7nE3oh0id}CUZe{Wz4vf-<3O9HD73)~}i z*AY`w`J{(JNLcul7r*sBr%`weEGM(gr6|zhl3`Je?b14Oc=3QaZoFMvOi4tZOm59& zLo(F`MqO-T;4^9N!zj3sV4FDbWz_-~PXNAOda4;RRZ|1>4|fq=`ECfx`)#yD2TQCx z1@l{X?VSV7e|hnpmxC7pI5C-eadZ$zNs>1Z#=xY_X2DGalJ|B+PD*#_u5lm5$2hq0 zu430;pkpmV)MdO$(hKj#=Q2u+iVIj&%J8tWcjP9i5SB$=?f?fq&gr4%x@ydaR2RTj zdI7@sGIqoL;FDr&OPvjpJ~=fd&4Uers%glCa$nxhWuz(epih zpk}=Q41oMkA?S&}^2wD;p3lNDDBv7rC@J^D=uB-*vMol?>P+RtaOV}vSHqzO5O^tv z_g8JYf9AmhlBr@Sa`qJbl^&u|T5HeULkIlWqAf0j!y$z0gz6%M0iZswpRcf%#FxGP%7 z0lQ!NEVdIoFlE6bMk41;$05wr%QJ2yGzgORI7|=yySH9C z52Cf=%mFAl8BRArE8yH6%JNm@uxzHs;hh_Us*&cINiukj{LFykp)5I$1!ibdAG>wI zf7)B_yNgdppe|S3j?Hr$Q)*0kdnKT+@7JIVu~=-@tCA9uS+eh^fVE($z(59q3KL5G zm=O;;$v<8y6wXs`wOBvM#Tk8FvKdBV75y9z1mTk%#94d;(+0yVjR7B<*fZkHeAXc4 z&|9asBy4x~EOR&>PVCWeEEe=IA<*tFf1&_vN9;km3ep^jHVO_bVc5`FX|-Y_iiLX) z$a92riYl9ir^EIno{ID1dDjBL5yG(1dpA}9p%R>XX1rAtKg?1xcQ=~c#V8z{{bPv*iSv(S-HOU7e3fG#APg);Q?sbe=Xoo z-Su1dEjHkj5-%ZR8<~R#mvq3~ixNWeuYrlVQZ1EiPhc2x=yYfAIK1^h<2SD3Xl0}n z9n`QRJdyj+CS1C){7iz#LFLU4ytpiM3delIq`;UV2(hau@%A@961EJ3C>-E81-bQk z9A3CdnO=aJZOsGke`-TIg;084T!IaG?SstlF!Mp`w(#L-hKq-TTW|4+ zm}?#pZeRtqSVDHv0-A}SIf9h-kYw5nZ^qBzp_lVDB6EKsk*vTE7%Zqysec&zcQ8GYQVAYE1-;O8>q#zf zK8R(PXD@RciP~RmLSQCdi{|yTo^DPWmJ-9AA@6~cfuiO)xuJK*z$ijNs0ls zYGR1iWl7+2CWFZ?-tu3Ie?#BB38N(S7wWmNT&xZam~ZJkUePXT*UE*Ax4cY_!+E^W zHh@F5h&?nGs3C5iA8w+J{*(}%qN@??KOL4bP&8AJ(m-cw+a&XQ=^F5;dZUGOtI11> z9AXZCW)!GrEB+1IEw94_^w`4P){du~x@qj+<4iY!n-3?QA?jc^e<0R*B7cj`Pi^Zm zBJ~I`eWz`Z^G1vtEG-6Cje=q_Z}u|Gnzzb zrk3eQ@(x7X@@Nqre}HC)A!ZE;aLD6ueGKZ@(&OTVS}~h*76h~8wc=cpGs?a4f>S?P zQSOHZ7Yi2oMp2vzFBKV-8kEFc%NCe)sAn381yIsKwlVV5kWEY+DZ(8^4Y<)#Jvaa7 z4J8Gk-$O$;`DE7^lW)BZ{PA= z_$dSlfI7qNe52RMt0R??MDwBAx1K+&uA%_H>Cu>?#&07$j_4LllnO!?1VTOh_5+Ne zlk_YKl3#Vqe<+~BhT8OS7A(=S3OqOQH0%ueCl-MN! zaQMp%W1u^Gh=f_VyzthpFP;zJ7MgI6>t*c0YL>GEK2lHRUJ6#BKmOkQcU^MP&{}ck^3!8GUYv z4$x63qYn@nNA40;$M(BWj5#A_&U_tt1Vhq=-nC@4bS=Gc<0W+O2|Y_7c9;8pdhW#s zluR@ce`}mM)clrZcaAi0#~6sWEe+I3gq|UpS3L(K4ZlTqhdfnW+abI29V;PE&}EsV zvou=y3vQb1>>-xgHQ|$fD^>oX0Sh%wargZf6nvq}cFxGmVEYcMiS-Fpl*&3!HDR2#h0H>e(u ze|d{#b4^qclE9PyGXw4#d$3yyh?*iT5)&*Lx(di91v`9v4YK*{8gKHR#aYnP4S;gP z8-)v3)LX6-%QYuvB4wePS_(79cNEbneo>++OihSpW9~yMWti|XQwr2HQK)2d| z$iI*W#H-8p!&z{HF^#hYOT}DxD&%LgFS$4pq8#d0;cB1weKTNO~|+>mZY6&#LH;#FYfi^L<%(lnFnkA8L!&J8|Z;WygtM$2cj<_Yup zj$T}Tfc-4fg42=~SWCfF8@FJ+IQ@P>~Z0Je|k0;tj!T|WfCqspCW0XxGrU!EK& z5Oi{ou?0Cq^UA3Jh&dCLvq1}ePPk>qh1=U=0bD6*!Ad3ZbP%z+Qt7|6f6pH?iu`yR zMV}O7%~DPB1t7c&7h&MYUv}iKhBR&-<=%4{ht@&vw0jYg3Z!<1FBq% z2md(K(cX!>2^Y6ID7+ns;v!Rc6~`+h`qyzfKZ3qB_aVqzH9tN%KR(%K_tm6Y2x2Rb zfHOi?X7~xyq7^Puk)Hn*eM8b4R+-e>Fn^-zmY288gd( z`Sm!LlL8Yby6~Q?*=aof^T@q}nx}(-tC#h&3Q*oY^CQcOtDDn(c;0LIY zcwt33cqYVs4i+|{Sat|!&ZPo8o~&Ee088+!vx_J~uy9d2(#J~~99Z_p%dD)QZ8ib2 zn_Kvoq>nNP&%dH;f9*nGymb3I0GbL>(V}3@`s%H~be6otViSN<2}RH5Y5YSFUAY0>LI3l`pWpuZ zRNI0%WdQV|1@IAOlM{3c*hQF4;?GBIpl312q&WTaajWk4e*?Od9j8|x+pUvQq+8DY z)LmePundKVUF3{QD4e43BD5Kq#wc_}^< zKrCeql(SQ?>GJ#WIBb47zKkkvzc0cQ{|ZZ!B>uC||BJU{!Ze_)GK%9cSZfv=qUF78`bh!(Jc zKE}^rf*^L`l!F#d{tKhx+LtxT*tF%01gEC~H5g9h8p6>g2;O)uyA>=rjKYo7XdS2^ zXH$lVQwUq&_k_^Vsz3o!?+h&hi(WcldO$lDfA|bR_8$46pGv03q1a97doj%UCia2{ z9;?)kSY%tvu~JNq%fPvuj5uuOi9mNs^Ri@9TvGrvQ?4alGd2DeWM&pxd>gHbtMm;v zf!X<)j|MMyMLZ`JbN{sG`}~|_7gOJrph%pF8|%P+xVX&upKMFo_^T_rpzj9J%>kU% ze;cX{JSaeV8mlD%-d*izTY(3TLQUa#gvw#5RE`RZ$Xw%Pm7|}cQd3p>Dl4tjm2L`4 zFO{XE($Z6H>7cl@R$baFFWuCajtWd06{f2a(^ZY>rpWYCWx6Rd+frvbDm2|ynl4IB zH?^jlV$(&n>7d+nP;Xi)IGt3Swn|Pre>JDQqSHy$>89-TP?D>hQ&k(_&B!0(XtSnUMe?1bB&f5jTGKJeiaUR~0r}wa z$Ekz@0s}>}^JCo+5uuAW z%lNTf(5);sll~p>dGza`sgRd*e-|)V{gEpP6{DxYK`9pU871Rw`6+@rgC<_ zN|}t)eHX@_3l<$E0#e@1f!l~wGp{C$#A}qbpYOs@sw~&Zh%7 zL8!rBHfc)Yt2p&mb8o>@e+_r`c6WCTjQtt#i%`@PIC2oWPVQ1zt&-3tgGag84v@#= zT7nnP*tBSXN--vaqrKV^oENcc2|b7H@RsO65jH4A^eS6Y%aJu|C88@|m%qwqfIoNk zD%pc<+^YTV?gBs`bis z<;kxq`YV2`O3YAfzg2nDiik-YR8%-n!#Z5hM(oF8&RHF zsO=ez*FLL&k94c3RQzUjlQn}D$q^MZxLF^$@swiaA&EMn7v~gA>4l#HXG;kOjkjfp z5Y-g-iaB8U8k?^ZoV#l;K8yV#VKZ>UWwLPB;+LurJUhe8fB2R?-$9_f&SMh5q{L4X z64*Q7#lVaFaB*9DdA4kb`sn>f&{MGad}Lfd4qiB9c7JQAe)~z$-HkVg?eHXzq0kd~ z0K-e(`HC0n%CDi)Bc9|q&vjA-G<(f-&l10zGGD|*e*qS@`+Qq@C-^*b%jP6sVI?`~yysqU&7ua)ceKjR$3+xU z@gUjhL4dQh`}0N$aj^-hlxsxqZeDoH*p<@|fkdnlyY#I^tP{jxmL<(x&=r?TDBu#5 z6D5Q-f3ZUdD+-v&F!%b-4K{8nT`!dk3#4Du3%__fLym*oY?1(ANzLkww)zxl220r# zvPY_A3jiaTJqH@r@FhXctLiJH4b0Kk2L0r5e{OMB)ZX`iR$pd|oVQusQ172qidPBn~@tS-VOT0%Q} zM?sW@a7r|XWdu?WZ{}ADL&}aBr_Wup%U&LbEOu8$Xz2mNI>BY(PL$K46>Sl##iK&) zkcnmKSpE5gyMhHk^d@)d`R?5YM`NW0f9C#8J{3K#OJ7n5gqdZ61ytFgXoXm5Z2y7Y zS;SX(Sgdcg{@`?(O*F$nu@kLg6L&E4O1sw{k2zaT-tlB)iha}`T zyh3swrpWH0`eEsDXE}HtS1uNfDINKvF^x68Tdz@wr`&(U-xFx^<&)^M0B*Mle@L&H z=WyrM>vL5oRD5IrdDcjT#ndU>B%lE1803>_c9OqxCEx-@(gn?9mZq_PwV{A26L}@U z*K*TOYhovRWTd;)d6$$6M~aUx|1$rz2J$uv-}`a8afAI$cNxlyShizzUzyk=m>4_Y zXV;aA>Rf|lX|zJwg3pj;nW#H^f45#x*AXlI<-5>w`>~P`;Zs1(Ru|3X#W!`m1e8rS!4B}9Yh<7zBRC$_jKs3gXn>Z&G*r!GmKSMLNbhme?TTJg$Jhq z!)Hbvk$}^8Qh>oW2zv2D&&B5yW(m{t8~dn|NlHfZh3epKH*P^sUX}R zo5IZ~1s<#KC^~uaUol4W&Bz+b)!$Xz2G=3E_}=$W zZ)|LS>EZdtm|qFwH%1tZZgagv&~6cfRIhAJTY}nLu_#l8kSH()k|!gHqc~dO-{>=~ z3n&c7CR`0f&m%qUBLYgxxv0hKEu^m0$p$Ow%o0{p^Ia&mL#XP9f2!KQ08g}3r9i;) zGYb;mU`Zt9bi4|PA9y+#Q6fskF<7b+C~lW$q_l5VOW5hwu<$H*Ygf+9(YBoXE975T z_nAs{iuNfJu&B8KWAS9Q`=t$LYtWs&1dF8tb-mrJU``9l)vF(8dI>Vq5cB&Fn&IY0 z`4QULdwcfwb<_H(f2GJ5j8c0!rmOO{sh(Xtqx9(Y&K?%bcG=S}B0V3*`rF@r6+>dS zSZpK?(~N%Ze3n3h^k~GJpw3dhKwIS3bD?H=LUbvs?5;q#!~YVb-+8Nb z`hZ3D^Go6E)K(IA%S6@4**>pB!6c#68XO|P$T47;e-|`lK1}5tS({QOaWm1jwNa39 zc$R@^L_Fln&*XU8aAhYVNmDwmBRsAnIIUv`elacSX*CDqrmChac3np98dYa*3uFD<^1|q3O`9sSVRBRTUX>aL^>*Wg06{pG{Tt5^x z5gxLPl%=giCv11{qV&duwye1|e6q!!U0)}Xe`lPP%Z;SXMC-L)IFjWST(8VvG8N^S zSU)lG(2wyFfHTHR02bFzo;8(|qG+c?HOb$XkR}rFX1;s1$QaesZpf1|PlDM^Y?v4P zcUnxXJcXPoO$Fbg#l@qKJA23kjgU0Rf)#UqE1Y$n>{Mve{O>uFyN+t^<`gej;4KTw zf5IQ;KskSNAeAX%3RBO$6a>kPirFBS4x))yC4_8M&H#l2{Bv+`hK_nqY{9p+IL!4d z#IOTX+C^iRJQ$1HPyoSl<}h)I)c~l_sz~{fFk?r@jK#f4RH;!*RqC0F4VCn$XT6lD zNq)&upg{peRI2`oAWSWUrG>Dy5JN45f1`yMX(7f1METyAg0<%a_e{;p4`&9x=n`fw zwP#8mPI#|^WvQoCzaRYOpVF$zC5<8GwQ0vJKCSTdX zS9Ty{2j&;R4y>FVj322S3U^MiXcVcBPF5oM~HXb}$lrD{%2vzR#~Zh)j)I;^~#!((o&L zy4mjx8xvD$@J+ZfWSMQ0p^4yI=Cl@iPqyH`t|RX8owRXdYlTsk;#TdGgcG;36%y%N zLnoAMYrwX}b_V)wF)hKj6f(sjf9bKCjmT0jC)+tMOaVkKlg@03olWlQrC&KlV1d8{NbUK-GBi zU(qK0y9i-fR??5tZ;ios1|Wae7UKOd!KCxC^Iv}W_Y#fdgX)JDal+>Cf41-(@X^Qp zM;DzR-s`AGuTxu!Qr7Fl?9nSUQuYc5tb4E6NYiT%yjlZCPgKa+VNQALoX zx;jI-(o+{7HqQFTt4;rw{ivh9EK5&7^n^=c%(RrKThDaiS-OK4_52Bzr`!BokcK;| zft2%bv-hg$@h+T4o#Gogxh?W$TQY@u@Z}_Omzf^!)EPse+ECC1^&3+A%xUA~W#@l7 z>@u51-2MD?erm9{f0@~&z@is1t08bA1-}}OC^Q68*&!9xZZNlOzLWYrqz1nNq8;!8 zJZ9s!dbeLg_WeTkwjxEQ#xDg9n}TYZ1>MSz{|Z_yWB<-GJ_EvxMOLr?xIAD0|Hi7) zhQItCcHB)3daBq+)*k$PJ{r9FzrO-$4c;4v2gcPVG47%ue|2xX7ccTTY$?lNEYC8q zt?dWiFNA`*?9W(I@@3GKi%v03%(#7?P^hm=4Lf1GQ~3fB7XcrYYd2 z&RD%1v9k`BBl>2m%gNjiG=qAd>u*oEaO$W76*^wpZ9 zTg^%sei>N_rYb8zeC?xc#6FvrTE7(E_E1Ode}z{)M0!>2&yu=PA&_<|M~zHtYDe`L zi&YPT(TR$mGj=ns?tYnbQg9WR&X{;gHpQkRYqyc@q!R-SZ3UZbGvz{KnnQLiJebzy4Deh5K73OR~Ds)LxoZTDFvE z&noikS>^X!kaabj6_&HsbZ%ki9B0C7e`q#Ipq#!%3P0XyK|yByBtla|hIKG!D!P6b zn}292=S`N%m?n<5q%;$^gmhDpWly-K<4lqbZRMJ*%#Yzz+!$&bT46+QcTMH=z~|>L zt-pTr+ znp$LHb=#Z$Z=PE(&+~n8)cZ0d{Q*pWUbkSP-WNyoElnhUZ9@DgUc0U1bN?f58~#4d zHf^Nl6R7P@Q2S#F{7}7T!iUXUZpisJ&+V7iA7(>J1)X!xRrX1Cb8I*kZB8RGSGs#6 zW-6liqEvD<|iCZe;zk^RwaQZPg%6O$xr$mg_Gs(Nv^(3)#?iw;G;1m zy&xyk$d&0M&H_#Ak8%>S+Q5a5jelljS)2N0K6SN)W7E{pp-Q**HAb~5G;p5KQ&3w~ zdh4aGk*!Q^229M%kv}2te~qnMo6rz=LXSax$I>YCdpklMx=@jkyJ9lI2(NgI)#N4= zXqD*uqgKVQchn9e-W72wmvpKCbXUYFU(%tDi_TR2nwoxxqh9?Er}BRDJ2iMkOCr`v zYqC18X`oxvv>&dl7Hcv~_kQTI+O>yo(2G!q;}$rz`rve`!0FI=96e3?Hm^{;qoVjNk?g1q zdi$JLOGUC)l@@y9M`ByCAv8PK7C&wH8R3Vnvs$Vhv-(L1r^O6*Q7PvaddFJC+nwhy z)JcnRzqL(tOhYPJlUzSVf7995D8DpE%2B(?Dd%fq@(lUuRAVSUg)t~ePZ$Y3$<>fJ z<|DD{%wKevKX;~#)F~IVreU_Gk!DT5beO;VbOZ0we0h@5*+`w0VWX)vo?@L1^4f_# zs*jBFSKo;^S^m_1yW|0~Y<)tnWc&CSd7IE1Pu?ce)HsNpwo|W!f5-B(qjuVv?25@K zyBFg;VVwAn9vI7ZMCglbAIvdLmPX9$2?CdB8yhZjfZuR@;38)L=WWKkw(dKX25ysnph=e@DgSxjWCans#)al-1Uq*ZPHw3N?Rq=lv1fdASxhR(r*UJf&saCZpvSbbO7~j;~>suQG0v zuJY?T^YY8TW3|VN-Ju=Y^wJ|eDD_)#=jDr2C*e^ga}(oCL-HUEN56nS@8_-f^Ri6k zvCv1>-k||-6p!mS%zv}>omScU{XBa4xmV}1StdOziZv@x4b(V?9_7zl{!u&{Vt-zi zojn%1p*lG9P_x4wqcqm3CX4m==g!L+jXL3PI2`aB9CY3+NnXRF-Fbg#Z(Yt36?cG! zZDJg_S@?P)bHYwELg8`ly4~M(68uW8BZ(H*S-IHd4EOSe5+FuAi|kL2KO4DLd9>i;nv_ zWyfv2ldaQ_X(p52>9uVWc*mOL<+f{YYVjm?PDK z8b$Nif*Lu^PeW{OFFddNoyQZCA7*NLY8@IqJGg97x8Pqk-0=n|MaE(m$s@&HrHOf$4dNxXmaeJ!4 zxMemmQGcC>Q+;Bp{n#Vj*xfeU?6}uM2X@-jhvTi*4WE3i8~!EM4gc0~Oe)S}VqwtXPnGTqB5hqY z+<$T^Fl}=<~RXzp3xto@gExA}_SA(=M(OYJfs zDKCABFOblKkd|T*4dY)F-zqkT#}PZOP8^*TFm1S=ni&DYouXtRjOW=SmNukK^nBce z^KFwcMO1%6aZbZ35;o0l^A%TgoJz95b@Lo%CGWV?S~byzJe9n_)O{5h%PyQXt z?M_jy6+PNU5vz$x6>TGS`>kV?ucN0}rGo>r=O=&1R*6-!;cb7!Ixb`XCJG}keBy^Z zYEXRUGw(!ZKb!eFCDPc`tdmuE#hf6@|15dt1nX=B7L_Lp%psOYySIpvr$j=*UL+E} z6%s5nLxMF_A)yhe{KYoAU@{WLpxru2iJ^5)n~ug}osA&om$BIehmt58t&m__*=F00 zVzYm(M)dO++w6jaNmP_p>l|jw9IIB@@CkewTRqNEAklu>F}FcR@1`I)Rv=-U>Q6mY z!oA9#2sKT)6TvYl*o(PGiD?m`a@q!@fIj+! zc&lN?)=5o3Pme0B2&$DXS56r4%670#5Cwnnu8GkPq+zCMk5KW6d*ucENH)9WbJ9hU zRdebaq?+guF&?xJn^N@~X_$9f2}5_Ib+c1kygrY{Oooq*&`uQr+UZE%drx|u+PVY> z1!+usMn$JO($EgtgC3E4Xac}`g5-Qe7Ugb1Z}MaXP@=aGJjcn&qvz9MZ|pg$Zu@`6 zoTrjr+XUso;_pj;5)^e9wHFGlPGqklz|kWJ(2*11cq;@rdW5vmq!}niRV9r~$9?Hj zw-yDbG6#J{=Tx$mcJyiYJQWjdu3HCzZCOfzZ9hU_587&{(?*e+&goL7^BsFwStauu z1!*2HmCeT|dX`n@ZD3lYN(=h!c4&Vk>tuMf`m%Q&As6Lltfp~Z8oL)C(kWEM;JfP4 zd*rxHB@%}1nK@7|>M=Q7cbL9qq>=G{`Ln^&xb*2;rfEv^w}!Aen*1$RRT1;IEb=sr z)cIQz!Ixk2w|>R^t?4%Nx17P({H;Gi{+3gXLTi$U?%bT!$nQ#-Ss6vMRz`nO;G5ry z?@u@Bt9;V(TTvz}P?OJkteEw$nZ|Wj_+RC>qG&PJAdg*IipBi0iCprWG|&yz>%puv zT8=6$tr1Xu(o0_TCyMi>$ZtfADC|#37c4bR;mL1Am02wU>t%D#`qv>Sm`2|k1clDj zqW(Z%)aLPFvk@(;;?^EyxV3*(QIbZa`wxU$WtDEz?3qb4#8?XEYB}s4fIb_pbR5M6 zOMX-MD=4&YiW94EInFTXC^BanvHRZx2J`d>t7moe}3<={53D)4TNvXdA67oZBOH|WVNU-SfD@1~AJ~m_ZY1Ww^ zdRwAEYS&3g=4gHov9g#w`ofb^ZHbnr-%p;DO4V6^(@CkeL`!Q&(pG-1mHEfpOiHca z2CZHOHEoS!6b$st%d1iznu)2(eS5@h(OQyiUR@o;h1}Og_sf6K#XFkPrBIc>`j@G3 zmA|)X+6wXzoITIM6JHJD?0K9fDz$~qrIMrQBK zW>c4QH0n^H%&HZ+70$BfIdST1btzm~&&L$b@(Bo3mb2Oo!@5fddO=UqBQFqJsuBZxXI-0;bHRzS zcfYodqg4u83RQwW!&q_D)r`l-Z)zxG%G`byzf2G~PF99$P+k z^LiaE)x`tT>`jx#OFn$aj?8mG1-`>B`YFLQU%aG+Wf6%WHC zI%iO>?Iu}~Xe%nYbWgXUN><=dl+s=t8H>DN7$|ng3udcVCEATj)$}JMy`o^cIkc3- zN8Z%Y1Vd&NG!Q24*XpJVmNa_olFIzA!c42)lgjs;O;=Th5-WdIbUJL+sokwpyH%%F z+fMWA;U$sV9Cb3*jB1a&;|HfI>s5FcusLo`f$TJ_m?4x)c2~=`%SP|GerHOcv4q3YF3JV1f0{vQ4G=0J1RqTL6LHQ8f2lJxh39FF^a6GN0DrZ zE+`W5_au_)3%+N}f>B=M+)8J5#CQ&+OHpuJdm{^69aRYre7>fYqmz=#yGivGkioHB({ zq^OyBvaChX*u2zXQ%TF-InTe*-GD5{Ykm7MZz8?GHMkx6J0;t zwM7>8+ zC;6;(*T#;E#JrKMvZUzEsR>%VSp8CIMGZtadz=ASpLCu`HyY|DJ>`=&P0g(3*5CGh zDfRW_IdLOLw;tFhzM!$v?_dt(euwRQQZ!ZN&9Q&dOg9;IQ4$NP$)->rC{`x&*YTvG zI$uyvTXyTvQM$A!3zgMQc1j^?;bfk}9BR0s$T*OsgjTqd3{M$%uGUll*eRsmW0^byA?}99xNH@;!REu&mb6ojGA6Y zJ-l>aw&{B95yis=YI^O30d22+LR+JOrq^*FypDCfj`~FZMsoKspKG7p8tZx;_3)yD z;oLG($>JYon@CG}k&lTt)k9&1I#8o1u4;}%1)E!kXU3vOaOL5dE&9MOyd(-hjAne7 zJ%H9wRZNBJdyE<8bO&yXYY*SEbj*Z1%jcYS9xhsJhW_cy{~ zux0_@ZBowwo&x_ht^w8aF=|{I_K(TIR$E}bg$Bt;t3Vr$A{dM zI+3~k+%26Cb*fab$qcpFD}R*qq&9U-kwMCRsAU3sX|?Y`Ell1}0K;b4CY1=AUB6d~b0pI$*CU`m?`D=9@K9TE8Nr zjWb|-0C`yY;AWSWUrG>Dy5JN45qlFl0A;z5xspbUtOwG;@XU4&; z8-`v`2N$tz!blZN8^UCN>X5v~YIkms*F%_!Y|{?hfTBgj4or3IMI0#lV)?;VAB}gu zj7Q_Y@`EpuA2g~MJTX6z#aXB7s{>>iQT;MUEBj56lZwTo#QIGAjQ@^eQnFyHHBbgy z3E!rbAza5@O;On?REykr!bCEq|%-ddyVlGJRrxs0KhyH3WK+g?OLhN48a zZmVEfRHHVWTf~xu)w0^fYOH}Ks$va#c>k?|E&?>|6aSdXV>LzXm}!qo3lmj3zcr|r zVrlDc=gsSvkGo%g2Qa6a$d5JX5%;hLy12)*PmE^T;DB14(ATx>wzO!>J@n)jd|Sh|r$ogX15$KhQBdM@c5+*~D74lM$6gYyfB6 zZ9J}h(RfMeCic9r9?J+KH}%6CQNW?(!nXKxEL48d9OR{c;$;5o!noV4NDT9}a{R(Z zC8>cw7|v$*UUKQD0S0jh`&n}rz5t~CKYR~o?%#kArt6c%t2nq%Nh;`)F#kjBu5bNC zz1JiEW)piHD?biiI0HC$gYjf&oA%gpj253XJ@~kWyh~$HI%gG-OMmex12YY?B;pFZ z1LYA&!eIs@-@!k_{BD5o^!tE*$DadP5A*ba@VWc%{Qp9@5B@T}O&A`NK~oa}-;-5S zB?0e~ds7*I%J)}3DTW#6Y)%pM%5~sj+nqf&o3{L+;UkPacWIn|IL+C_SjZ*@>Z?Sg z1!2K+Qp;_-0h?#A)}yI)&)@~j2c}bllDqr*FvfVj`qp?0|7Q8B9pS2niX~4eA$^4J zSWy&cI+ZfVIyG#QIg*{q*rPqgwC!#cy7#A>qHC)iv4Aj3cK76 z)0Q)+udH}`tQa}mg&W>@7*~+6>A~PXyUu@ht^e%8B!wJ%1R$pKpUHpB27rKd+g~c{ zCJp3&z3+VnoR_)TaR?SazV65J3o_%DJr>tsX&NSu-bi|_%#m@k+yz;&M@k+dOaPG#5_dnhPDr(~ftsw;T0AE&b!2UflMX;u}H&fi3C4#lI zy;kI~sd(Ri%b?ha#{QSNc*iI8>zKEkBV6s!KretPb z#a!g&->f32MVSr6Lxc2W}|Cr7rD$UDkK5Sc_eM zI2p?R0-^J$c+a9~k(WJ3B}am~Ps_5t6$yO4VpG^>`^8tBK7zI5Ret`EwQ?VO5>TD* z7bPWf`WfK^>1JMHVt3jQBjtGv`^`g&0BhJ`^LG`yKc4p4{h=w}{7T{EUVHD1)QcbG z6>l8z=9g<4cNupq9G9mMTaW2e%;H8lRv%uI_1RG0N_}=^HrPzMc6-okn!HQl(pi^% zXDM7c>r!^m%KpVyFHvFzdw=4;9EbS>?R$Uv|Fh9nUIGcNMfu5V0RRBplQ>yG9IU}r zVyz7T0RIjE02TlM00000000000001_0h7N07?Z475FECy1-^4d004!~0RR~Q00000 u0003100000g%6X!5F3*;S`d>1S{ec`Rg)iDKLT7 delta 22048 zcmV)MK)Ao*u>pg%0T)n90|XQR000O8idzIjM2(ASY5@QM+L0F|f6-3EAQb(ThWD1z z8aGMD5;c3$#ORF1H-zg()&c>v?(d~+tJ~s(Z*b1x+;cCtnSXa}_65!>qi2W|CCWex zBb8pw(DQPUPf_Lr*OIqJ!whY~qioI^VW}_<9-Oh@f&!mKBkifMGqeuDQjEP=L&tp) z6*`h1#&tZzt6O2qf5nEc09R#si8}~f^1$(6nA_12?JcDkE$ydklYtZ%TIfIrk4ZuB zueMWRYvIoq2l7ZAz;*slabia0w^yU8@B5;!lP=~b`1StoDYcp_J&Z~K+RcXtSQ)ov z_eMh7;A5!Sm!`r&#AFr=&|UBY^HSg3E*FSZC8_grl9v}te?n+=Nz3V5gU{X%hP$(I zY~vtyD%OK)1P6fxFPyR={xwVF2@vzO+=rM}eJGIETh{w^ihTx;;k!NdkMvc&BokUs zDXEUq9}H4)2VZJfLq>{=t5kPH2714w>@iwa?}^o!eaOhJefy`A zr;1!-CnIA(Yk`%a0Xds9L9WaW=qo$p{u~^t3)8J`;ThGh-`_WX{}k!X$gWJT#xuHE zP77jz*4vR6Hn^(#89<&THhi9%v1fp)4bzvgP#Qml#?e{~CkY7+-$W*ij{Gyq31 zUDPt%lAMl$4#orfda2{m4eA@!PpV&0{tr)S)rgTrjNx#Ly8)Lxl5=uIQ+vAmE_G<% zLZMKZnVI2@N!lf+r>7(3f)W%WRzj)TpN-iA*fDVKTjLcoHbR?dxR5t4=K~bjM>b7-@&W;Qax4SvEZgWN@RZ zeGm#S=7Ei*<>Z`A`wT_Z$2Vc%Rll2k1ofXK#mN1K^F2YGj!sUj2e<2SYi}Tukt)?p zsy;qGPEKn(fAd}ZOh_JTux;IBZC%}rdm|4uCnu+=si}AKw4+Q9`X|v-Sof-%o10j= z3+dwgO62@zX=#agye(KX(}L&)Uf_$&v$$QmzP_%4NmK(z z@MxA8B=+9Pt0^`pKSm(I)>4^(CBQ0nNn1NEzT&Ale+zjeQ_Qv&AJew?@81}PmkWGG zuXS!qDF6QtFp9fj-W8XXaVv$kZf7a@EW_#?#hB;e*bDN2koM^mCATY z5x$2std-Hx(V>a=H`dp=5~YnTEG!@pNM%LrtJhb5#eqZ~C@M-zNlm-UGn$H~l^d4z zpg&Si06SNrlO7lt=*X6|KT-!Z`|*iZU*$@Cf9yeEh?A3(pP!$J$v7tHxF3tPv3N%D zXKCr4X)TyH=`Ycp8$@h%O;pyh(iycJ$W=^_HLipygA;MzxxLOhZFrtV^2a4N7ko9b zrivdEZ}bpv^Kpo!+J${aJLGdpJEtHLaBD2R8d+4XnUDlb07kd-42bRn7L#GgB&lvWOhXzP| zydOq{k~Bx1QTWZYK3f*!+ckUN==I#)-M3a(Z~4|SJU-zG`&HS06JB7`>$qi}#kJL3 zgu(R9pJ3Eg!E)J~-;m6>Qoa4`yDzree@UST1j5d4=`E+?uS!#9dveLWZlqB0;cA1M=eZLAX!*gxEzI&m6t!xbjYJ=t>B^NO4JZYMt0$2%(Q&F=L}#*MxgZp z-CRgx;GuJA;Mw~=+oVSY@G5QvZ$3tPb~)XOF@Im*vjd#IP48Qf31Nxeu(KrYf9#42 zzQJusi4;7c;p*yY-WL4w6@4irm(97Fk4ek0#X==7502>2G@3xEjs2ZG=vDf;x0Ehs zQJXnnWMoucUS45Rb+Og5ohD|H1+>h}mnS>(t*xyoDJik-FP}fhM^Mu7hEB|#()>DD z!^P|cyk3LoR$=*>&1WFti*usRf6lS7Q+Slw=C*7W;Kj6iW43Oo-^MQhIoNdZ3h1YC zcu>OHKqSXThv27lmAc94$w@8n=H{j|im*J8{Xrv<%W)C#;Vr9l&%Eb^s~m3;T#%o? zj{@7Y)NSd$eE9-z z4XDvR{0=(89M6iJb#bpQ>wPLO?C+OgurO4mq?}a}W}#KS9{ux2EV2c$-O$kBPRQ5G zN|$Y`k_*EH?_eX_HqH-de-8uCpNd71`y-mor7|nq+8&QCGSn1*JqwkYT=Tsc9+nt| z&%d}(0fAx$^Eg4%=TX&tOMAkGr3V&1OZ};uA=F=Or7*;;mSmF8-fShtHuM0+VwP6x zIu!A!ElBOq;FeN8juZM3_nL5=81^)XSlpM~ji(PD%vBus7&zHof4t+jGdMWt(SF{r z7s!uNdH{YCE5<}WysMd!f8Zg|@=X=vK3=CUs97Zuheo4M%r*p)*K!fF!n07_!h?P$ z0T{%g>c?WkA-HXKu%sj^LmvvSvmaPcZ0fc?y&!IrX3r4l73p_=b3_+q$_;>bOG-)r z+<-?%Mt1QY?Mo{wfAX@jcDA;$diB%O(>ywVx3)^DFe;H95>7vh!{v^SXuD4X9}dE` zA@6CwSk4OF3eTr_f%ZkNDpyrErgvtTomYjGTVw+RpZL%5cKeD$2^rR#t_Oe=;3yZSVUWYinyEk)S4% zrkDH6Lyw8Ne?iMDD^%2JjV7y}_oErO^LDFE0(^$EsQgw2bAj9?W`RzLWn*U#VQ%nR z>?s1Gy;CJiA-Tb$Y#>`TH1X42XAi-mP9$?P9##o3VisQ_~S z?X@+pW^*f!f3R5oQzIZ&JPO5IWHOBfZJO_?YjCS9Wi>J**!=1T~v)c{dxM_ug&9 z-%HhA@_uP(m~2q~V{kAHyOdrhMhr=RO_PROGS+;^c?6H!w=5|hK0B2mb%bpl`FpUt z%%J_Oe{`8xy?{O4SY2((-+uPEvGfdZHR$NCu#k|DuyCe?Rp$~meJJk{aUotDk|9wD z#0WpX-H!~(bAP0BwX587eSL;Us~~ujr`6t{zRPZZH$m_g|Ag4@OWysqdye#6F3!%p zaewMMPv$-E14P^4w_)tpoP{P#E3fhf_LIcAf4jTc5(Gp<;$w3lE1gkvH^t0_#M%k# z6`=^^QC%m1na|G~<1n4TIaB@v2*>!{U=aIglPhbh{34NE$fIwW4KhL=TO ze>YT1luNlRlXZuL;8O7Z^JM6--pmaUB&w>aT3UStH=_Vx4rI&go4*~c>jYHDO%Mo) z1(Lv7Mg^j(UeWAnmZapvLxS>fL*5IX2|Sni|Psn>V*4Bi6FJ zVn$_Y-sW2>^h!t-jtib@fMxDE>{l-XyMf5M%B zhkΜE`F5_J`1a)vpc~e;}5wlY^PHuCcYV8yg>2%!Q3iB z>teUvhQ8TKC2%WU09gi*8e;eEJqjVztA7Bb#fYO!86;OKd%(ZtJkTP%s%Q1G<4QL4f4Zab7C0vB zks`IRu`z&?df#$Nvn6;pw-y!g&R+38Efoyk`?K`f)D;6hs=EG{L3DxSdHxe%GcT{2 z6R`y-H`sTvCm|;%2Yi;P+?qo}DD7?e8>DoTi4t<<-|{{-UCuZs117VZ$v~A^ai{P7 z!L}VK)U|ZhCc^TOtz5SJfA&NxxS4Oq-t>`aUWYy8GDUofcF76U{hV+pePgIV4ICYg zr)J`PnL_L0;u3$#ajVD4+U{^L_V z!r@7vcO=Sjq$t$oXltgzWt@@mFrS9_%xi}eSz-KnPqA1ol<=vE=8EaM9V$>#T3T9A z@Z_^G-nF5=zKQs&e_2oj77k)z(S5JaMjGJpnHPS*GLK54RK7*XJpd%(_}Ex#db&tz zKcM{SB0Mk7P66e5ZMT{+#-mhIV*HB*c5v|GaQwyj5v{493q8#sj7H$Q!j|M5$e{wllN-+1x1??Xe4_)WR&0cVsk6ng7w^uodd%tYh0w6V&Dq7}F`giZvg zBNl`fckV0y4^)r;-4uy|L(QMX_Qe%rptL8`QiFiMs8~k)3s6e~1QY-O00;nzTLeQ7 zb`D4OMF0S*0o0S74)6=i%dG+7-tH8MP;>3@_?{~}> zgB`;Q7tzuWZ@%Bzq}RKXo&VnZ)2q{H=>^B(bri4M6hMvlS(AUki|NkZpA3V6;BWBz z53#$x^%pKQNxk^mU3lyZ`0Ctv>#n@-ciwJR7g3ZRhwCU#lbyZ6tC#08ptDV?f;x9& z_%94Ji*Gh7FHG@c02aX>M62~C^_DbSj>OKM`C>Sgj8A|U-nAD4T|C7^FTEr^k9kkAdMSUSN#4iYz4Mj_w}8w70ABM|*x7q`d9*tzIx2%7de`nINcV$i@rkGa zV@9C>b`h;TKqB^%+e?4t!OUI^Y-cbSG-1zx8)ARyHQR`KKJ*j!D)44OK=3&J^|b)Z zP2J0fwU>1cSS8*`1k{*&X$oj2`ZlxmI`F;ZGTPaL;edbQUhFRrK+yKo4^Q2DYBWEkVHrMW(ni0MUM+F244QZOEJx@#nJrQv4sZ1RP7vK7djnF0QUQr1N1>5J zE~5?b%+B7A!{O+~;9J8qE&9)JVB>!$Q|HCtC*Db8^0XoMW0=1e*DEdYUm zKseL^r<_+||Pg###Qvbt$H#N=ahoHKW+-M^w} zbp(HcKZ+Yo;ZPph&cqzpra3kpb8IzQpIu)kUfLXV^un18N6yfhj7IioICj1@cFoBP zbK*Dy3qBbQCZ_HDR9`SIH!6SE{yK=lYyald4c!|r&ctcsSbVo$x~ccZPXMn65WnR= z-vX&)(OC++)xY+=<^IDFsT(_c|L-qB`rUtQlSY5JNxxGyfg{6f-RR=-L)H$@w|VNW z);oLFbmR<7YdDdNlWPsxIOdCdq~mZAd#Hf?eK?hk$_TfKNg{Ik?d-jc!qTzwQbFBU zY%@qnJ_jrU4-qnbvwtaq2f=?w z2c2U4TR4~j;t*yKCd$DP^c)nxOe0W39(oDrUl(q8qZ>Vn*2!7;I*wu2m9?9)gt-Yp z1n&DwKL+N9S-OE9tvM_ZtQ_q|C19>I9xoXF!Z}cvq*7jZNfc~w8rlXZ?%=djf&odr zAT~dEH_WzIgsmfWxNs#V}ztZCRYiZ|&l8dT-(h3|{ zVG;XloWMMdVZZ2FT}Dx`?@GA=WJJ126aZYwV1&qbjGPHLJaFQtcOk69shiZHU%eFV z_*Zmj5ozV3AO~RzEG(ZF0~d++cWK#96VR2zvPddhTXA&lR!2U?FXD>478z$$#p8@~(?E zAD7HJ`k1bS6Q#D;25iEW8!mVDR`AEiIQ=Z-j2Mrj1rU0EvU%Yg&(NpS=P#0OrFio2G8zEuwgNfaHdQK)P1|;M{*jJMg9CThuz4 zS-FVrKzVW{aHk%Kw?#HXIGTk#41oAcuBMPpET7t&bOk34dR`cyP~rg`?hB9P?S;48 z&?yNDsjR_*VRf)!biMN~qg*V!mrGVoj5$mtXy2q51>kZ*7M~u9g8mK76AkM;b zcawOE>158N*P%;ncqV`C@imv#uTM`h;Oe2!WWQHg8yrP&kf-?hzMC#?*>NB}@d=QP zdK5&iYV|qrW$fROVFe;I+=x$@5-1x#Ls^d<_H#Q)mfJyN5!V43Q3+EN!dbl1cD-EAH`mwx{bj^{cgK^D z7Zrc6ed@+(9#qI&Q#Fui%^*w}$m}Y)jDnJUtpgnS_ug`zdTSa6{?8GD9fyAEy8#1~ zc6RFCV`#?@5e_8Dt|xgNpe9}W9Bp`o&<;8Ek&uJ7MgVf2)b14aQv}9CNQ@eym z4lf=sr;WF3iX6d|5TZ#S?(=icftJDU3=%ib6$Ms<={mSPRyoW938|_lH?79aWHAKS#W<7 z!Qp$mB0r_Obl13!;%ywgX-m%2Fo7NAqf+@UTx5T7XJ=FD&=8mV zj4e@vK<~D(2I^suq-a!%iqM~p!(e~f@-RR(==iL1800}Ju#{Jsk}H!Iq;4FC>kT0I zWBRSJ`AH&nLzJdKJjT!W^nqIR0x$sbKZT$t{>mqBE;&C7-=IKpl%b^34+AtcoMcOk zpw*emnc>bW&{xBu5)gPPhxb=)y5{i%I#$I{Kb2gCX;l&pnny#riNoCTXIQE_L>vnb%6+sElZ>K2Ian$K zW8)Qo4>G_C@fd6Xn9INmDl*t*vQkgsY|ZvI=+PjN*k_1?Sd5pxXMV5Nl;tOwWG-y< ziiFV$H*?TZx*>`y?u{18xT=4?9dH zCw^wb@o1Ku#sW*UsgK>dVDK&X-NmOPP?sy7$L79`DLtmVyb{pY_iKMphFCZ@>s84K z$t>CTQ=nR~R8SxTL4^rV{g^Qi`pG|DDiqFBZ?#xI$d5Dnx@0qq#47qZYzU$!+laIH z2BrMAmdZ@7-7dgi3Jkobgss z{4h(&;@zyJXk!~n^6StBRg$A1O=jWp@G1aX7(0um(~@EYaH{sTgSy`Orzy>fl+ zFMP0XNXl3+!voN=Tfm>X>$mP(Y``ZaMj;a$nU4oiI$$0~2_t{`*C51Psg_E%CkTu= zbh@*59Nv1M@f+81v@%i(5NgB`?#TUU6E59Yz9-?xLFLU4ytsVm6u$X}Nr7QQIK-}^ z#M|Ha$k;Lp;^6?>DaftQ!DPqh2-6Sc-*s8f9T9+k(%Y_W)yLiigEe?J6CXABQU#RE8(y=-;V7{gE zctxwE#g%^_GT!nsIS%LXLfZf~)gt!LSfGZucz(EvHu_yce2T6{u>W*a%0SUfK~4jm zscnyxHXjtQbE4!nSHhDkwQhTh6a&P$57I_$ETqMl_e)HqI=6U7OHbfXM`k zA~r~&LCyfuM(;gL*JeD4&`d4UvE&^bZOfxYcmSFqMwvA%z#)&r^)aYpOOGEf)QZ`h zvmk$%C9f6xnw(MYl^2})$%@iHG_+W-;5Qz{+2N&PgHnUiao4g1<{j#V22uf(bU51> zdurGwCW#c`ilPSGXsMo?|MQ0Og3#}w;hX(U!gTWrebob$F0@^EKX3fl%X`u2e+dQ| zx~T_@MY7#iQl@0uNHsuze5N_>E6{Z20GofN=<0b(**%n7L2e5Y;K_!a!^)GZ(kC}| za@NI7dgHa85+KmC<-xpt%Xi_Y5KaKp8E)qry+&Rgsgx(057oZ){9$z!1^7*m#uPPv z8{u|Dw_u`F5V9Z;>fyH^U<};; z>yRnlrX@f3=s=-i7bu*ML%i;q;-Y`G>Evxh2S?_p=a*keRi%&G^ie>A48{ZXt($IQ zHvk>T3tP>iGKZqO`K`N*KDQ(X=qQxb2ZW3xcZsTF`&B5$oRKhRzK%SCA?ZTzTC!TY zmfpDW61w+7bA-ne-D6fRs*Z@Eq^*PNJ%l!a<)SN@~+VJgWmDyj(EC7qoTkh zog02=&^5Cbqf^+L)pUOW-D>|K|3V%RucGaTv)~3}8fOcZin;Jq#Ls45a&aWYIn=Gf z;|_Zq4uqN=ur9( ziz`d-EDRnL9vX-Q%ba(IYT8|t67K}&#Rf&qO9|GV}<)XAefFaJ8 zCkF}wog8F>Kn~HoXDR?KG|oN)TDX` zQY(*nGe%bC^a+sptRp-=_`Dr!oN)YX&ax z1Jpshu%aA16Vg5h3!6|ZAA}3%Qq>(#)-7v*b$8a;)e|9DxF~Juf zn*iBeE&NN;M;U}?T+v;2Au!&weH{Q#g{Wvzcw{AqK3lUaLt%xdI>MNEOB$qdU9xpX zFFt>82P$JS$Rj-rvvjebiW~;-l=CRQdMPl0B`>Vl1mIjk@v?au{}4o1Za^2$|9tW1 zw|_p>wqR};0KI4de1!Sq1lvQq@?JU zb3b(#m=i1`;UT&9;CDEAFE)7A7b-<%6QF-w(1S5kUk}GFq@0CN=TY!5$7?Sw zIiGq}izUX^Ok33S%5SQ26;uhD<&+;Uv3AR~Pww1uQe+hvlj)b|@ruRT$jcAXAV`|9 z7v9epi>oTYWdNopUobhf#Lp^oESbvB)OIp=u~bdvwm0$Tmq(0Fc`tmLkGlm=r)7Wh zCVX}Pu_QH6&Q8Im%P+;_u=$PnGO4)5z6ekJE38M7_#e~j;3H}5AJf(67b`by5}50n z{uL{T!D|-_K+a>jYY32eRaF6OO=01s$beg>Q{(yZ{OqrSB~B?n2CW2+IrUxKu&yvI zPy@Y+pTPt{>cTDuEu1_SM#Y&gYm|S9Y0DW2c25Ipz{@cTHH4#05WMkRb`Myv8HEd} z(K=8;$P*W&`!NASDR-p{&!nm+950FD4J?@=;KrZ?0yt@aI%xbJ)JqCf=wTZd;dM>8 zQ34aX^kSxN&@rW=Fb{Bmw29)&Yby#Eqxnzd5Bof+thaPHW6qS?i8795RI&?!InFKfPpPpS&N_g!~ zY#Hz*y5VK5cp=jmPx+4`-H3dM#bsR~vzF7haJKUEfX@)l-XlNsQ_1u=6uTRJFNQha z#9r{gqm%j(i~MRiRf@^+GjM+{XCn@qc_PrA(!3toJgzC^nJL$ju9+Ht3uk5)One)y zip%p2F@gE`nU4mqb45H06|?=c`}_Qy&Mv0DD?yPt6F1g@{cv%a%RkwYwDC|^bV1(@ zqMHNQt2a~*cu;`!G*(Locz3m8q@? zQdhbuEWK2gj!H{UwWWjN(pq(Cue@|qUpgu8Q|j zS82K^HQm&jZi-D8)uw}T(?Pvyso-={aoQ?5?bMw1icTk0r<=0VL*413@N`mnwxINM zQhQn}KCM(AfsB~VXXk&GoOt&AGz`J^*x=G`u&emlkLRP^{HwDybJk59BtNgk4S&Ml9cvzmeprEhO^?% zUvA)haQTx|!UF;WMYHo`-4^6vjZ!n>&hX&SLb3U(4vTrbD5QC&i!v2|fyKj9{?UuY zjZj5|F5WET$96&Yu-HuccfjY-uY;ySUd~;>VD(3?Bvg!^h6JTp$Y(qmZ_Dow)CDxL z0)JtHZyi&(ZCQUHZG%rqDWF)81IH#uysy@et8i?e-%t-PgqRh*ms0%{N&@!Ca)n?! zWt#;g1>WYB%_r}ZVuc=9J9ezpozvMv#EdfI*PLh}YJy8mF&b5{R%tLRZc^G+@sZbb>cW-QXu3z&Bmzv*|jaO~)Bsu_9O9 zQ0_RN4&Vf#27lS)DT%M*)LYHH1y42H+1uUSH8A#Pz%Rn1p1@Ip&;@dr!fKU-HW@sg zi|qh;Jg$Ewc=2{kiw39^W9m2Bt1ZEK*}9g{b65^W{ITXLs$ojhv_N2ga^jPvw9Q8$BH`SHWR$b52}?I-F6sieBc5 zezPUb@H}2&+w8@^J>y4XIH9Kshxo&ud}~Z6BjbN3K63L3wd&g<+)0_AY2WVv>w^R= zL^FHOu3q4Lr&_mMSEf80y!w)fxV-u+fkUNH9$xsi4Vf+1_!wcysX7(UuFw?nR(qvq zX&P5K_r3J9=Y{i!aKWlE*Bqr(KEzn!ZUO_-#|y7U%6m4RuiSeW7=3!6MRCWWcC1;V z84Q0WZ}?gAx)J5chT5Ldp6!DLe5AvoQudn_Pu2`tPb2PNu^UTj^k zt`~j^!Y(BmG~SpYMpSd$E9R5sI5uA?ICs}xd=~pf4rkzo%VgoM#V=MNc(#X`JuZ93 zgFtz)$0UGBiJvGWuy-JyK|J~4;F4`Y6kO9K3MI693jv{q~ch zyBlu~%i&2LMWLti0EXAS^A#`Em0v}r$2`fGo~x+}X!fez{~s1q4Q2tDxs0p}FO=-D_aKi@@RWd8{&E=z*Yj86kuPuQ z!5-w^gG=u|oh1h#jXz-pp(}UsslI=ZvPFAE?{dobV@ITgPpdx`=T|SAlYE8c{mBtX^xYPmyM@luaRftXhTu*v;%&(6B}?32|OkUn6Z$j=nbNCr<_7MrcLteGg>y zn(w8v!eY$bYaSMUqk@ys!HwmDNS9%OVdKh8vhZ~dc(zGTF<6|HeXo3hCBsEuWXaZG zmgiM>|87*u@BRgJ&g8ocCj)=k!(*S-x;p^^aCIg3NmbF{5kNnzf6J?8i&US>cTnM$ake zP+*n7OFd>K=jl--p}gT`lJm$#b~V)xOOHIu!Shgafiz}$E2$X;Wn6H13Po~*P{?wH~3KV%5 zG>=)D#{SiYVyx`QD;<0-7yYz$>|`&EbU$_8CFSao;_b`7%zv$cyp6*5ew=RHV1Lv7 z4CR$9+ljibLhKPjjBW6<>q=R5u0gWQTH)D(Z;@rmsXKeOUQpK&EB)oG&~o{)oDk7d zz|B?{&E>^6b-jND%=5rqC;XAxSr8b@e03zCuzXFvqv@bBad;`%-A|yfTw|KuBh;3n zYTGZYwaj;m8UbZRoPWq{{)8NO^)WLT3g!z%V|Ak)L>mgoHK?2Sbm*;v=z%KE_tB;^ zid8V7GmH*_?6eeaoB|Br8g)bmoW7F+43d# z-mS0dKZ(BePw8R1;>CKgDV$vqC;ang=}Wo*d?a`>u&Ta^o>VO8Sba><$@2k=F`5rY z)>y9oxZ-~{qz;{n?|l#T#>V289-wcG`IRw#V~o-0HrGo8?G`bd>XoHw%TSvu7G

!$Tn%bG6)rS`r|SLJO}-MhF)>E-L4J*=AT zviE;qM0!4q^|!zMDu%>tvDio)rWya-`7D71`O%0sL0zPLfwsu6=R(c$gy^1D*~-z{sVnCKDqZz7n!m*Ta@@*=M@gcHBP zb!*sh_xx+F|zQt(V&0M z#{c4}?c+&(rQJ%}iW`u;X{axy6p^MpCxg@;yj=TrrAHnW>LBDE*MUm>^BOO2AWN zHI;2RH#TpK|0PJj^H%Hh0c-8&H^qP1zO7{QmYJ%N$bDXif=NQBH8>=IkyF4h|1N0A zyqL;4vNomk;%2ICYqKDe@hk_?hOS9v9nH{eJ!yS0yO=j6t>s__pxt4b4)^|>M<7Tc0;Vu zp7xf$xQMP`UvaMd!SzF76X7PySXtUiw8M4>FG^2MXserB!zV-b?D{&9yymP#Hhmy(F7WTU1@)#0cYBlS{#f7-wIs3 zmGAS*4kA;dmU?>Swlw_8o^JL#qsGKk8hjJ33|VFyWoRP!mN~73-jgl3uj_<+d?#(( z*xJJ=OLD9BNrw}+vlS=Ow}$RevaJDI7TX!}pr{5Zb?+hURt}UedVS;JrW9Ps8@b4ua z$p_UBFOr1K;cekQ;G>WGk1pCjyw_2WUZ=K{q^#G8*`t3~Xr$~FHdyywv5}_N9(1(^ zj-IJdvdOuLV`Ft3cdVg2EVvMxtUf}=Y}st%7tUd8)IEqb%ABqg&a&iDtZ_Y$v2myV z1}i|+V@--o&azt_2v5Hc=y&`% zko7Q6ABb4H|IYs>grk}uNfkRoiRr0}?;B_R%#MN2QTXR6D(7=`MDqwcT@u@XX0khR?~}KIFCBVH?neDWX`q}3iaU2N#rgwJ>01a zhC;QWpbP3Zr1qIpN4Ec`!!EOF#NE$N=cfjHqM1z!EP9c!8iFKJ$gAOqLPKyWJEWr8 z4dH*5&3972iPVr+z_bHig2!z9R`2#}$i835-d3c@)a0eWVN-BTv&vifMPNazW$fR1 z#%CayvB*jmfRqOc;NMtk+VGd(!-~79LGKqE$=ZXT&qsqd|Myp5t-*Wa@W8m*B*tA7 zr0$LP;zd4(EoB*umCeF+L9QP9vdeoB$gbZ@S=$BEyzt8VJ#Sg2alGpL?SFv+?x! zI@`zhpYC;ggfB?PI|?@Ug*-5z2jltsm$AEinRG$lbcUlY$d9&<{6E^Gej2S<;tYRS z_gG)cHH?24&p+L)tZqvV7HJox$J)@!n4s5lHbH*AI<-0-U!e0L%WyRX>kF**3&Yg*j6aB>QDd4aRVo4#~KiqM7$gWK3OV zoOo7F}Mc18XrR;xki zx!oo@R~b6WoHatn$T?rkILc8h_8LLjo4??QtOxE+aBtuz3{4sNUy5>SyDGD z4AM^JsIh5H?Wk^JvFbrEx}$&M=SQW59;8>#GHSQ29x5qM#sAI ztd9@1g%h=t7gga#?e0fyT-gy{Um-i zMt+jjm8SNmS*2ym6YW{${CZaTJwM308qNyKS!+7Cuyc;H!)s_ZS)iQ0<*=YFNzy`q zidA9pBY;YYS<&^o*!+J(OF3_{RK_%Myd|ZXxFzJ9%31b=I2~sv+0a(vWMzH~r{cy? z+t3Oldb>E4(*vKMzqJ1P&2#hRKmMAbHdLa zl-F+Q_}u@9%Z9&?vqc-J#ml3q}fY0j1DBlZGK>yNS%vg*Kvj*Wk2Y~&2&Y-F`yBjO!eHIq5o zHF~G;fn0I2^p{K0YEpY9P8^|r-ky|}m8G@QRu~R6q!jA#+8yxQrnQ~XT4+<`E*CAW z*-bx`+79K}jda8o8W-(|jd`1Y6F!gnTU(2=X#b~sovnX`+7==zk5NLf6GtQ0O`*xt zQn2S4D8GNPp4I&4oqqPR)li#nW7IfD6BINkZFFt|q4oAy`?EIn%Y5o;3&*CZqeGQ$ z?Q4u`Q)u8kp{JmRReI~Cu90D;HUlPR=E$Fr_r})YCNu<|&|^?vu{6s3-i}a*E>vWc zu9!?P!Yh9sV>P=8541}3{ZUx)>m9Yth<8Pt$|apD0NoXF%9nJgPRA{7wyC(UKf%C7i6zI1O|-P5aTxYJrnkx+lay_G33(+-j&GHfyy<;um?ap%;>Q0MsKinodp&>n!K0Zc&^V!!Zzcfe6QM<`0=L>ITQ-=I>sxcIw z!WfjKCya!iXZw@X_(9Py%^^SdBh9JNFNR;dG4;C$ zs?~h!e!g#n2_DtT8vEB(xC1n76XU?e!q*d-6Lz9G6dvcU+x=Z9!H+e!n^+XhqIVQqgagFxf4Z+( z@H*#dCZ#57wVN)>k^<|D2Q4&M@m-s(c~8d*9}Rgw#{JxV<2E^HBem;?Rmtz{`WfpI zw8s6MvSVGg=(wL#cHG80**g80W-{rWUfVW-cdSWXZi{;x=l!l(BrERP3imK$m|^Dy zXp^nzwBgn6Yv0OE*<5_V*qvR|f8#bD`PNy=Y$nrf@ZGM&K4L?R{!5vL+ZK7_tC z1(V(Tn%L?EpyH~u07Y?C0WwoDGEY<(Om_cnGE^fo$(U)XDlyl1V7Gb=f9h#*p{r{d zI*w*-oD3gxq(Z1sG>;+F$Z38WPAl%KO-34gCK<1(iqJ{0X@qooVUvrvimY_13RP2P z56g!{MZ$~ad--lys{_Gw;Pl@$?fk-kGM3-C1y-4n@(#?Pu5lL7mEVOpGS#bcU$4|C zBYElBC@ID5sfOZ~*`!2ue;!WtiK+Huk91>qTWquAS`QsqX-!PnX(&7$S6Iv(`W2RQ zag_(GE%3l_pjijIFeU-z@`p8MgQ7bhOZjz9gyT}R1xiIK(_`Jy+y;H1v#p-tqks+O`eYTuU% zrPFdJlPOGUm$1@3Fz@G>#BQ#X7#-fG?O$=AB!Ut-Aqmn)>XqTf2RV|Hiu&(FTst+KU#EH6jN!?J&m1Hn{e(Mkk{F!=)cWpY!1KB zis5AoblRw_T|vg-xTvhpU$$g;{pH8vZ-$S;-Ndeu@x_@mOLog^fwQf-XMMBwU)tT~ zD}IM$+VC&6%YdZ3^eMhTLJvY(3M3lFzbL*{EDnz&cEnDd8x=5ZxSpCB0m7A{WFd^_ z*&~)Vq)qgE+=TOGlNCf%1|o6J!zvb&Z$uV<#(64vfvGzdnaD5)lD8>zXs{f1RKfVe zY>I4lvvHWV66Sx~eGG3AaJU@1`I)RuEyE>Q6mY!oA9#2sKT) z6TvYl*o(P(nXS0bLty^q?+gm zF&?xJn^N@~X_$9f2}5_|b+c1kygrY{Oooq*&`uQr+UZE%dry9y+PVY>1#3)uMn$JO z*3b^xgC9|PXac}`hU9WY7UgciZ}MaXP@=aGJjcn&qvz9MZ|XU!Zu`cZr;=XV1m(fv z@5_G@5_K507YVISY_DR#(IXgt(2+CXcqWpbWWEto$uJg%BnNJQIO{Gr?UC@ zM9;FyybVf=RB1uK-8QWRPexa(uXxuna#3!^Y8vOIv3toOokCR%zN;R8y+@AQRC2aUjZ2@tWtyfme`^SfqsiZ5RTVLR%OX$1NS(hm5q$YI zf9qGw-k5%B&WF^|HBU{p%1ELZfdDfY4iB7 z*@%`^X=@KM+S;lpNh8wz2coUAO1EkD%p@9OECqA59Ci;tpAA=k+K%FaCBG^96&%_( z#fjCo9A^}C6qz%P*!}N;f_eIb)ib+_QE-y+pk?aw1%F=@%o8iDp4nUM=FX5PNYUmN z35>rl66R?cR?oySM#AZslTewi-Mqv7dmy2cldx~j+JrLIauSYJBy6hPzbO)m(_~Fc zk_M-{E8sZv1~FEDVWOuWRFvzPU;4MdJqE)<$oI`+AJQvLJjL1^Hi5h4mkKc4P6n;j zH|w2B!HzNvEmMWz(`B9(f>4s5)`}G^C*wu+E^d=~x&>bBnUrevOkLwwVzG21dxiS9 zoRn(yOkQI|7-vMV29Hfj{S}CiZ)#hjnzkZ>MUP)05p45+u^FpRgJ-_!ZHWS@#gmfE z(fl4_Wifm7g(s!j5-m@^o;)d)s z+1b2JM>X$%hH|q_N4q58Y-&@e25r-dY+1RSHaWvB(kR&|R-=$<=N!q-?}@7(`v!UP zxU^zRTM6;;X~niScTABIWcDpVWtzHSCvADld`yn+Se`U#ncGN2F&~kU3Z`#g^4i)& z#Okst=xaIs>FX%SE6X(Ogs0Ul%~OTuBd#@=V|hM*lRel9o{wQ8vv+2*smnPUb*NBg z)r#B-XW4TfJMsmvwvte0N>abd8?{G98E%8e*5cz+m_CAk6}jW~HmV)s>{R5_a1Pm(6S?xw)-6aJ5LDM5I5L>De1AAv(o05IOnX-4kwoal|3R((P zf zRC4K_4x>s|;82v(UThhQykHn8cE}56tFaQTMx|={6S7`WFx?zlO6DVP>S%%?GYT3A z6ZdO%(*-Dv9*a_0{#Ar&)q7I;o{Q4b!xZj)N0#lem%T&mqsmgj4-UV!qTT>uA4J#H1<&xdivhA|bJFeeIk8|k}9j82a-J)n3nNF2h z^$Abv%0|sf(T{+0nmCFf8hS@%h%O{ju1|w4v@^HFD=H?D_4Fi?4bg=}A{n30W12si z?tgq|)H$OS8lxH(B}=&hzH3c}IoFP*E-bV3?i25|VJHiRVXcQEyREu9T^GwV+w2&7 z3u`6PG6ZWSmo}iZ_Bew^LRQhAc!pD^P>K{aGf$SaC>ooW zI&3Ow**oX?H@X{;#dxi6KjuxOHy?9|7PlUA*v5^=Vw{$@9Sfx8HyzvFa&%1HEyvb3 z9Ici&94q`Oxa8<9{Zzoo__J}F@E#d25p4|d?ZbPR)hgpwK{L_y!(CfsVP9@S_kSv= z!2i2}47W<=g6>*Z!T6b;ixJb+! z*(ytl-kh4C#f#N1l~&Y1M6<^kfb~h|iFBi(ZqideY17oqT5kPq-&>j?&Xcq@HG^20l^JT2x2jE3He?Qt1ICTC=CXiP#h6E}V)s z;UAFL)lC1K(jo!UxU(RY^ArYfxJ(>sq@l<-kfelGr2YKX1qE!6YK(|L_{D&Km+x>? zzir$M`YkL`E_ucx$i^SdtU?m(#q$$r2 zb09iEzOIry5(9r02l+@DiJ==z6CMTBSrAVi>VrRvQ&Aa8rio9piEFJ0v9s+LiO9mA zg&m;|Gt(hI%44!ROG51J-HIh450;E{@g}E-XAlfVO|PRKUOF(_biMX}h~goFnqGTR zK-+7d(AH?6>2=(Pu47%Vqdw8Uk=#AZ=h|nr#=2fdJ-p~(IJb;cviOJDCel(y@-gwI zdMM0L2Wk|>Rn3v8U~}v6%vkgYt~@-mMIZQumqY;^qnX@g51=(v6;t8*9%F_%-GLjU zSRUpftfnB&t|)(XeLvQJ^=)s>^*#K>UEdkcp|Rc8{f)2~tXaT!o76KvroexVYk)Nd zyCUf#a)&#G?D};b+$A5g&bfM7PJx;#-K%t^ctVBu@gX;*PGoLBcT49(ohlVw-?Diz z8!ow?fr%8|oY4l5`KQ=6-&@>@HrQ*5{_Jm(`DRU=*00E@<7~Ca=;(_mGCENe89kg0 ziqfJI2vZATX(4Pa#83<2Xdy;gh;ipasyV|wQ;YM%nQ?IIhM^bK!9`-5C{hK}hA^2r zB(JgBT^i)|5T+u3+q8o;plA_^15+J)5eJICSb4D3N8_C@Ht|rRKLv8p8clCNyXw(VtuB*$A3pLDOs@98YqLUL~ql|2(FW^rYP|A2c@_$ z3TO=;6}+JOKY3l8kvhO+K6&WkZ?bQHBcTg%6wn%oGE>@ruMypDqQX7oN!P?`O_4(K zb(OjlUNQ>Jk}serZ>>>wNoqFR{EVTPyY7rJw~a)>hN48aZdkA^s!K-duL}*X)!SRpWALy8!qa+jZY+|k2$%x7#Hh?qfHXhf$XuPC!6MJ4*k7Wdr zoBH96DBw_XVO#t;7Aik!4)RiQGXHg9+-+8L40Bv=IelTFlGMN-jApZYFS+#70E0M0 z{j9kQUjWhmAHIh(_ix}3rt6c%t2nq$Nh;`)DE~w3u5bNCz1JiEW)pjyDnAZhI0M*s zgYjf&oA%gpj253XJ@|-2-lZ`p?XwEVrN8);ftiL`I^qht1Lu-b86)Vq`|tdJLbwn9 zGQCY09Sp(0X78tN{K<>6{=+Er{w@1aUz0piC4cUy(+z7N_}LM?cLqRdyjg(K=3xl$ z(qDOX&i7Y7DTbNkY)%pM%5~sj+nqf&o8I!F@DNJw5GmvX*+}+yiuu@78CEJE^3Y8; z0f9fy19#!Aybvho2BZt8b&3g;`K~esYif?^p2d_s^w_|g3z^0gt1`x}6CjFKrxeS5 zh=0Q1p`QfuL(u8*{VpCse2Cm@>M@K9m=8>+1|<(n^byhVdiAaG7JvTDa;zO8)g&K;pd-NzjLRtgdE*(+-|kAl zLC_vAzXybkGtjLZM*f;_hVvkQ|H%H$D%fPYY) z{Ksqn2$amauJOf)Zc;AZ``%}ud0CnrSM`|84ac6fY=|_C5XZO)y{qWRmCjM^o8vQz zx9;81+{A+7_>9dTCK*3{G#po=yw#DNNI*%2$<%r*LviHl zi%=4!R(s^^9>`f5dvSU&>( PSChV29R?p+00000)X7>+ -- 2.39.2