X-Git-Url: http://rtime.felk.cvut.cz/gitweb/lincan.git/blobdiff_plain/f8d7cde0d035f087f39c8076061bd8ef608e14f4..b2be2bbd665328f4ec3dc14d7d84fdbac4c58e11:/embedded/board/arm/ul_usb1/defines/system_def.h diff --git a/embedded/board/arm/ul_usb1/defines/system_def.h b/embedded/board/arm/ul_usb1/defines/system_def.h index 12c6cac..4364199 100644 --- a/embedded/board/arm/ul_usb1/defines/system_def.h +++ b/embedded/board/arm/ul_usb1/defines/system_def.h @@ -252,4 +252,48 @@ #define I2C_DRV_SYSLESS_BITRATE 100000 #define I2C_DRV_SYSLESS_SLADR 0 +/***************************************************************************/ +/* Constants for JTAG and supply control port pins: */ +#define JTAGIN (IO0PIN) // Control ports are on P5.x +#define JTAGSET (IO0SET) +#define JTAGCLR (IO0CLR) +#define JTAGDIR (IO0DIR) +#define JTAGOUT_RDBACK (IO0PIN) // used to read actual TCLK output value +#undef JTAGSEL +#define TMS_PIN (1<<20) // P0.20 JTAG TMS input pin +#define TDI_PIN (1<<19) // P0.19 JTAG TDI input pin (SIMO1 if SPI mode) +#define TDO_PIN (1<<18) // P0.18 JTAG TDO output pin (SOMI1 if SPI mode) +#define TCK_PIN (1<<17) // P0.17 JTAG TCK input pin (UCLK1 if SPI mode) +#define TDICTRL2_PIN 0 // Px.x switch TDO to TDI +#define TDICTRL1_PIN 0 // Px.x connects TDI +#define TEST_PIN 0 // Px.x TEST pin (20 & 28-pin devices only) +#define VCCTGT_PIN 0 // Px.x Supply voltage of target board +#define TCLK_PIN TDI_PIN // P7.3 TDI (former XOUT) receives TCLK + +#define ClrTMS() do {(JTAGCLR) |= (TMS_PIN);} while(0); +#define SetTMS() do {(JTAGSET) |= (TMS_PIN);} while(0); +#define ClrTDI() do {(JTAGCLR) |= (TDI_PIN);} while(0); +#define SetTDI() do {(JTAGSET) |= (TDI_PIN);} while(0); +#define ClrTCK() do {(JTAGCLR) |= (TCK_PIN);} while(0); +#define SetTCK() do {(JTAGSET) |= (TCK_PIN);} while(0); +#define ClrTCLK() do {(JTAGCLR) |= (TCLK_PIN);} while(0); +#define SetTCLK() do {(JTAGSET) |= (TCLK_PIN);} while(0); +#define StoreTCLK() ((JTAGOUT_RDBACK & TCLK_PIN) ? 1:0) +#define RestoreTCLK(x) (x == 0 ? (JTAGCLR |= TCLK_PIN) : (JTAGSET |= TCLK_PIN)) +#define ScanTDO() ((JTAGIN & TDO_PIN)) // assumes TDO to be bit0 + +#define JTAG_IODELAY() __asm__ __volatile__ ("nop\n\tnop\n\tnop\n\tnop\n\tnop\n\tnop\n\tnop\n\tnop\n\tnop\n": : ) + +/****************************************************************************/ +/* Define section for user, related to the controller used (here MSP430F149)*/ +/****************************************************************************/ + +// Constants for Error LED control port: +#define JTAGLEDSET (IO0SET) // LED ports are P0.x +#define JTAGLEDCLR (IO0CLR) // LED ports are P0.x +#define JTAGLEDDIR (IO0DIR) +#undef JTAGLEDSEL /*P1SEL*/ +#define JTAGLEDRED (1<<13) // P0.13 Red LED (ERROR) +#define JTAGLEDGREEN (1<<15) // P0.15 Green LED (OK) + #endif /* _SYSTEM_DEF_H_ */