From d60bdd03da701dd912a94bc138f5edfad6be79f0 Mon Sep 17 00:00:00 2001 From: Vladimir Burian Date: Fri, 15 Apr 2011 00:26:40 +0200 Subject: [PATCH] In MCC unit MCC_ACK(4) forced to '1' It ensures that MCC does not lock when executing nonexisting unit in place 4, as this signal was uninitialized. --- mcc.vhd | 2 ++ 1 file changed, 2 insertions(+) diff --git a/mcc.vhd b/mcc.vhd index 156a840..5cc1121 100644 --- a/mcc.vhd +++ b/mcc.vhd @@ -146,6 +146,8 @@ begin PWM2_STB_O <= PWM_STB_O when PWM_SL_MUX_CODE = 1 else '0'; PWM3_STB_O <= PWM_STB_O when PWM_SL_MUX_CODE = 2 else '0'; + MCC_ACK (4) <= '1'; + mcc_master_1 : entity work.mcc_master generic map ( -- 2.39.2