X-Git-Url: http://rtime.felk.cvut.cz/gitweb/fpga/pwm.git/blobdiff_plain/5233bf3691f47f206c4b58a75a0ee087fed8fd48..b76b2092a47d96eb9b20cef32f7ce06ee2ab893b:/tb/Makefile diff --git a/tb/Makefile b/tb/Makefile index ee49ed9..bcd8fbd 100644 --- a/tb/Makefile +++ b/tb/Makefile @@ -12,6 +12,7 @@ VHDL_ENTITIES = counter.o \ sequencer.o \ pwm_dump.o \ irc_dump.o \ + irc_base.o \ mcc.o