library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all; -------------------------------------------------------------------------------- entity multiplier is port ( A : in std_logic_vector (15 downto 0); B : in std_logic_vector (15 downto 0); prod : out std_logic_vector (31 downto 0)); end multiplier; -------------------------------------------------------------------------------- architecture behavioral of multiplier is -------------------------------------------------------------------------------- begin prod <= A * B; end behavioral;