X-Git-Url: http://rtime.felk.cvut.cz/gitweb/fpga/lx-cpu1/lx-rocon.git/blobdiff_plain/40f33e01417f630149dfe34d2cdb7b40c1645ac4..e8a2974fb0a44cab57ec8dce398b5030d4e81981:/sw/app/rocon/appl_pxmc.h diff --git a/sw/app/rocon/appl_pxmc.h b/sw/app/rocon/appl_pxmc.h index 2b68cbe..1077cfa 100644 --- a/sw/app/rocon/appl_pxmc.h +++ b/sw/app/rocon/appl_pxmc.h @@ -46,4 +46,8 @@ int pxmc_rocon_pwm_direct_wr(unsigned chan, unsigned pwm, int en); int pxmc_rocon_wait_rx_done(void); +int pxmc_get_reg_type(pxmc_state_t *mcs); + +int pxmc_set_reg_type(pxmc_state_t *mcs, int reg_type); + #endif /*_APPL_PXMC_H_*/